summaryrefslogtreecommitdiff
path: root/Example/combinational_logic/half_adder/half_adder.vhdl
diff options
context:
space:
mode:
authorsaurabhb172019-12-18 15:13:23 +0530
committersaurabhb172019-12-18 15:13:23 +0530
commiteb95026ab9007631eb8e2a1c54dcd38fabcb60ad (patch)
tree8695e410cfe16a3b2e37600cd64b3f0b9a5673e2 /Example/combinational_logic/half_adder/half_adder.vhdl
parent04d9c666b4bb19936dfa469f536fb38107e631eb (diff)
downloadnghdl-eb95026ab9007631eb8e2a1c54dcd38fabcb60ad.tar.gz
nghdl-eb95026ab9007631eb8e2a1c54dcd38fabcb60ad.tar.bz2
nghdl-eb95026ab9007631eb8e2a1c54dcd38fabcb60ad.zip
Examples Restructered
Diffstat (limited to 'Example/combinational_logic/half_adder/half_adder.vhdl')
-rw-r--r--Example/combinational_logic/half_adder/half_adder.vhdl18
1 files changed, 18 insertions, 0 deletions
diff --git a/Example/combinational_logic/half_adder/half_adder.vhdl b/Example/combinational_logic/half_adder/half_adder.vhdl
new file mode 100644
index 0000000..71ef1cc
--- /dev/null
+++ b/Example/combinational_logic/half_adder/half_adder.vhdl
@@ -0,0 +1,18 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity half_adder is
+ port (
+ i_bit0 : in std_logic_vector(0 downto 0);
+ i_bit1 : in std_logic_vector(0 downto 0);
+ o_sum : out std_logic_vector(0 downto 0);
+ o_carry : out std_logic_vector(0 downto 0)
+ );
+end half_adder;
+
+architecture rtl of half_adder is
+begin
+ o_sum <= i_bit0 xor i_bit1;
+ o_carry <= i_bit0 and i_bit1;
+end rtl;