diff options
author | Tristan Gingold | 2014-06-29 16:39:52 +0200 |
---|---|---|
committer | Tristan Gingold | 2014-06-29 16:39:52 +0200 |
commit | f2ece9d895747a95453add597cad3e6d6b1cd2f2 (patch) | |
tree | 9d8de6cf6f7e3dd05521fdedbeffe7eb6f3d7053 /testsuite/gna/ticket14/tb.vhd | |
parent | 13f260fca9cd8531b4a2af1d43a78c249197f931 (diff) | |
download | ghdl-f2ece9d895747a95453add597cad3e6d6b1cd2f2.tar.gz ghdl-f2ece9d895747a95453add597cad3e6d6b1cd2f2.tar.bz2 ghdl-f2ece9d895747a95453add597cad3e6d6b1cd2f2.zip |
Add ticket14.
Diffstat (limited to 'testsuite/gna/ticket14/tb.vhd')
-rw-r--r-- | testsuite/gna/ticket14/tb.vhd | 32 |
1 files changed, 32 insertions, 0 deletions
diff --git a/testsuite/gna/ticket14/tb.vhd b/testsuite/gna/ticket14/tb.vhd new file mode 100644 index 0000000..3b6c50e --- /dev/null +++ b/testsuite/gna/ticket14/tb.vhd @@ -0,0 +1,32 @@ + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity tb is + +end tb; + +architecture behav of tb is + signal clk : std_logic; +begin -- behav + + process + begin + for i in 1 to 5 loop + clk <= '0'; + wait for 1 ns; + clk <= '1'; + wait for 1 ns; + end loop; -- i + end process; + + inst : entity work.scrambler port map ( + clk => clk, + en => '0', + reset => '0', + seed => '0', + d_in => '0', + d_out => open); + +end behav; |