summaryrefslogtreecommitdiff
path: root/VHDL/myxor.vhdl
blob: b49f3cadd888bebb5e35862634ed11f96ddf9470 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity myxor is
       port (a : in  std_logic_vector(0 downto 0); 
             b : in  std_logic_vector(0 downto 0);
             c : out std_logic_vector(0 downto 0)); 
     end myxor;
     
     architecture rtl of myxor is
     begin
        
        c <= a xor b;
        
     end rtl;