diff options
author | Ambikeshwar | 2016-05-25 14:20:23 +0530 |
---|---|---|
committer | Ambikeshwar | 2016-05-25 14:20:23 +0530 |
commit | b503d66fcc1e26be28b58cccf888e43f99d35ae2 (patch) | |
tree | 2dbf0c992715e8bd06184f7d6aeb35ef80f50b20 /VHDL/myxor.vhdl | |
parent | d0196e42ce0b3bebe9a4a60a974746d57dd93f83 (diff) | |
download | NGHDL-Example-b503d66fcc1e26be28b58cccf888e43f99d35ae2.tar.gz NGHDL-Example-b503d66fcc1e26be28b58cccf888e43f99d35ae2.tar.bz2 NGHDL-Example-b503d66fcc1e26be28b58cccf888e43f99d35ae2.zip |
Samples of VHDL code added for testing
Diffstat (limited to 'VHDL/myxor.vhdl')
-rw-r--r-- | VHDL/myxor.vhdl | 15 |
1 files changed, 15 insertions, 0 deletions
diff --git a/VHDL/myxor.vhdl b/VHDL/myxor.vhdl new file mode 100644 index 0000000..b49f3ca --- /dev/null +++ b/VHDL/myxor.vhdl @@ -0,0 +1,15 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity myxor is + port (a : in std_logic_vector(0 downto 0); + b : in std_logic_vector(0 downto 0); + c : out std_logic_vector(0 downto 0)); + end myxor; + + architecture rtl of myxor is + begin + + c <= a xor b; + + end rtl; |