blob: 4140b76abc7321982f0f529a743b502b94796437 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
entity flipflop is
Port ( CLK : in std_logic_vector(0 downto 0);
RESET : in std_logic_vector(0 downto 0);
Dinp : in std_logic_vector(0 downto 0);
Dout : out std_logic_vector(0 downto 0));
end flipflop;
architecture Behavioral of flipflop is
begin
process (CLK,reset)
begin
if RESET = "1" then
Dout <= "0";
elsif (CLK = "1" and CLK'event) then
Dout <= Dinp;
end if;
end process;
end Behavioral;
|