summaryrefslogtreecommitdiff
path: root/VHDL/flipflop.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'VHDL/flipflop.vhdl')
-rw-r--r--VHDL/flipflop.vhdl22
1 files changed, 22 insertions, 0 deletions
diff --git a/VHDL/flipflop.vhdl b/VHDL/flipflop.vhdl
new file mode 100644
index 0000000..4140b76
--- /dev/null
+++ b/VHDL/flipflop.vhdl
@@ -0,0 +1,22 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use ieee.numeric_std.all;
+
+entity flipflop is
+ Port ( CLK : in std_logic_vector(0 downto 0);
+ RESET : in std_logic_vector(0 downto 0);
+ Dinp : in std_logic_vector(0 downto 0);
+ Dout : out std_logic_vector(0 downto 0));
+
+end flipflop;
+architecture Behavioral of flipflop is
+begin
+ process (CLK,reset)
+ begin
+ if RESET = "1" then
+ Dout <= "0";
+ elsif (CLK = "1" and CLK'event) then
+ Dout <= Dinp;
+ end if;
+ end process;
+end Behavioral;