summaryrefslogtreecommitdiff
path: root/VHDL/d_ff.vhdl
blob: efc4177a0baaabfedd7b97ba81f5983b3988f22b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee. std_logic_1164.all;
use ieee.numeric_std.all;
 
entity d_ff is
PORT( D: in std_logic_vector(0 downto 0);
CLOCK: in std_logic_vector(0 downto 0);
Q: out std_logic_vector(0 downto 0));
end d_ff;
 
architecture behavioral of d_ff is
begin
process(CLOCK)
begin
if(CLOCK='1' and CLOCK'EVENT) then
Q<=D;
end if;
end process;
end behavioral;