summaryrefslogtreecommitdiff
path: root/Example/logic_gates/xor_gate.vhdl
blob: da0da23c788488ade7503e14040fb291fe8c720c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity xor_gate is
    port (a : in  std_logic;
          b : in  std_logic;
          c : out std_logic);
end xor_gate;
     
architecture rtl of xor_gate is
    begin
		c <= a xor b;
end rtl;