summaryrefslogtreecommitdiff
path: root/Example/logic_gates/or_gate.vhdl
blob: d470c3d92d241d806a96ae03430227cc747d55a5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity or_gate is
    Port ( a : in  STD_LOGIC;    
           b : in  STD_LOGIC;    
           c : out  STD_LOGIC);    
end or_gate;

architecture behavioral of or_gate is
begin
c <= a or b;    
end behavioral;