summaryrefslogtreecommitdiff
path: root/Example
diff options
context:
space:
mode:
authorRahul Paknikar2019-07-07 18:47:08 +0530
committerGitHub2019-07-07 18:47:08 +0530
commit7193220d6958627f268aec77efb9f6baf6ee4a9c (patch)
treec8b2e50a414bdcf528a1ecdafc235705b8f6675b /Example
parenta6394b20adf8176755d6f16e86550a8ffb14b5a7 (diff)
downloadnghdl-7193220d6958627f268aec77efb9f6baf6ee4a9c.tar.gz
nghdl-7193220d6958627f268aec77efb9f6baf6ee4a9c.tar.bz2
nghdl-7193220d6958627f268aec77efb9f6baf6ee4a9c.zip
std_logic with std_logic_vector
Diffstat (limited to 'Example')
-rw-r--r--Example/full_adder/full_adder_sl_slv.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/Example/full_adder/full_adder_sl_slv.vhdl b/Example/full_adder/full_adder_sl_slv.vhdl
new file mode 100644
index 0000000..7de9c1b
--- /dev/null
+++ b/Example/full_adder/full_adder_sl_slv.vhdl
@@ -0,0 +1,19 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity full_adder_sl_slv is
+ port (
+ i_bit1 : in std_logic;
+ i_bit2 : in std_logic;
+ i_bit3 : in std_logic_vector(0 downto 0);
+ o_sum : out std_logic;
+ o_carry : out std_logic_vector(0 downto 0)
+ );
+end full_adder_sl_slv;
+
+architecture rtl of full_adder_sl_slv is
+begin
+ o_sum <= i_bit1 xor i_bit2 xor i_bit3(0);
+ o_carry(0) <= (i_bit1 and i_bit2) or (i_bit2 and i_bit3(0)) or (i_bit3(0) and i_bit1);
+end rtl; \ No newline at end of file