summaryrefslogtreecommitdiff
path: root/Example/counter
diff options
context:
space:
mode:
authorfossee2019-09-03 11:07:32 +0530
committerfossee2019-09-03 11:07:32 +0530
commitf8d3dbc8c0f1c59a0546998cb9365e5c291dca07 (patch)
tree28f0d2fe7f00f1ee854e411b82689eae861a9c52 /Example/counter
parent491e95ad13764229c3e27dfb625c5dbef9ddec59 (diff)
downloadnghdl-f8d3dbc8c0f1c59a0546998cb9365e5c291dca07.tar.gz
nghdl-f8d3dbc8c0f1c59a0546998cb9365e5c291dca07.tar.bz2
nghdl-f8d3dbc8c0f1c59a0546998cb9365e5c291dca07.zip
added examples and modified server
Diffstat (limited to 'Example/counter')
-rw-r--r--Example/counter/counter.obin0 -> 7512 bytes
-rw-r--r--Example/counter/counter.vhdl22
-rw-r--r--Example/counter/work-obj93.cf4
3 files changed, 26 insertions, 0 deletions
diff --git a/Example/counter/counter.o b/Example/counter/counter.o
new file mode 100644
index 0000000..442cc73
--- /dev/null
+++ b/Example/counter/counter.o
Binary files differ
diff --git a/Example/counter/counter.vhdl b/Example/counter/counter.vhdl
new file mode 100644
index 0000000..6e16138
--- /dev/null
+++ b/Example/counter/counter.vhdl
@@ -0,0 +1,22 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity counter is
+port(C : in std_logic;
+ CLR : in std_logic;
+ Q : out std_logic_vector(3 downto 0));
+end counter;
+architecture bhv of counter is
+signal tmp: std_logic_vector(3 downto 0);
+begin
+process (C, CLR)
+begin
+if (CLR='1') then
+tmp <= "0000";
+elsif (C'event and C='1') then
+tmp <= std_logic_vector(to_unsigned(1+to_integer(unsigned(tmp)), tmp'length));
+end if;
+end process;
+Q <= tmp;
+end bhv; \ No newline at end of file
diff --git a/Example/counter/work-obj93.cf b/Example/counter/work-obj93.cf
new file mode 100644
index 0000000..46d4772
--- /dev/null
+++ b/Example/counter/work-obj93.cf
@@ -0,0 +1,4 @@
+v 4
+file . "counter.vhdl" "849ecbdf1a2a5f5cd553b9ca6594e4a3ae1e214a" "20190710170933.911":
+ entity counter at 1( 0) + 0 on 13;
+ architecture bhv of counter at 11( 229) + 0 on 14;