diff options
author | Rahul Paknikar | 2019-07-07 18:39:16 +0530 |
---|---|---|
committer | GitHub | 2019-07-07 18:39:16 +0530 |
commit | a6394b20adf8176755d6f16e86550a8ffb14b5a7 (patch) | |
tree | 4086be15ed232d9e1cc19ae92967b5895a902a40 | |
parent | 57ac333e92ddc237b1d107ceadc03afc3d32535f (diff) | |
download | nghdl-a6394b20adf8176755d6f16e86550a8ffb14b5a7.tar.gz nghdl-a6394b20adf8176755d6f16e86550a8ffb14b5a7.tar.bz2 nghdl-a6394b20adf8176755d6f16e86550a8ffb14b5a7.zip |
Update and rename full_adder.vhdl to full_adder_slv.vhdl
-rw-r--r-- | Example/full_adder/full_adder_slv.vhdl (renamed from Example/full_adder/full_adder.vhdl) | 6 |
1 files changed, 3 insertions, 3 deletions
diff --git a/Example/full_adder/full_adder.vhdl b/Example/full_adder/full_adder_slv.vhdl index 745fac3..a0495f0 100644 --- a/Example/full_adder/full_adder.vhdl +++ b/Example/full_adder/full_adder_slv.vhdl @@ -2,7 +2,7 @@ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -entity full_adder is +entity full_adder_slv is port ( i_bit1 : in std_logic_vector(0 downto 0); i_bit2 : in std_logic_vector(0 downto 0); @@ -10,9 +10,9 @@ entity full_adder is o_sum : out std_logic_vector(0 downto 0); o_carry : out std_logic_vector(0 downto 0) ); -end full_adder; +end full_adder_slv; -architecture rtl of full_adder is +architecture rtl of full_adder_slv is begin o_sum <= i_bit1 xor i_bit2 xor i_bit3; o_carry <= (i_bit1 and i_bit2) or (i_bit2 and i_bit3) or (i_bit3 and i_bit1); |