summaryrefslogtreecommitdiff
path: root/usrp2/fpga/opencores/sd_interface
diff options
context:
space:
mode:
authorjcorgan2008-09-08 01:00:12 +0000
committerjcorgan2008-09-08 01:00:12 +0000
commite0fcbaee124d3e8c4c11bdda662f88e082352058 (patch)
treea51ef1c8b949681f45e5664478e8515065cfff5b /usrp2/fpga/opencores/sd_interface
parentc86f6c23c6883f73d953d64c28ab42cedb77e4d7 (diff)
downloadgnuradio-e0fcbaee124d3e8c4c11bdda662f88e082352058.tar.gz
gnuradio-e0fcbaee124d3e8c4c11bdda662f88e082352058.tar.bz2
gnuradio-e0fcbaee124d3e8c4c11bdda662f88e082352058.zip
Merged r9433:9527 from features/gr-usrp2 into trunk. Adds usrp2 and gr-usrp2 top-level components. Trunk passes distcheck with mb-gcc installed, but currently not without them. The key issue is that when mb-gcc is not installed, the build system skips over the usrp2/firmware directory, and the firmware include files don't get put into the dist tarball. But we can't do the usual DIST_SUBDIRS method as the firmware is a subpackage.
git-svn-id: http://gnuradio.org/svn/gnuradio/trunk@9528 221aa14e-8319-0410-a670-987f0aec2ac5
Diffstat (limited to 'usrp2/fpga/opencores/sd_interface')
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v134
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v149
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v132
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v139
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v267
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v84
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v164
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/initSD.asf226
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/initSD.v386
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.asf528
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.v730
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.asf121
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.v229
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf231
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v369
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf141
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v224
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v383
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/spiMaster_defines.v125
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/spiTxRxData.v108
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/timescale.v5
-rw-r--r--usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v145
-rw-r--r--usrp2/fpga/opencores/sd_interface/bench/testCase0.v126
-rw-r--r--usrp2/fpga/opencores/sd_interface/bench/testHarness.v105
-rw-r--r--usrp2/fpga/opencores/sd_interface/doc/spiMaster_FSM.pdfbin0 -> 3871409 bytes
-rw-r--r--usrp2/fpga/opencores/sd_interface/doc/spiMaster_Specification.pdfbin0 -> 45134 bytes
-rw-r--r--usrp2/fpga/opencores/sd_interface/doc/src/spiMaster_Specification.sxwbin0 -> 42063 bytes
-rw-r--r--usrp2/fpga/opencores/sd_interface/model/sdModel.v99
-rw-r--r--usrp2/fpga/opencores/sd_interface/model/wb_master_model.v176
-rw-r--r--usrp2/fpga/opencores/sd_interface/sim/build_icarus.bat4
-rw-r--r--usrp2/fpga/opencores/sd_interface/sim/compile.do22
-rw-r--r--usrp2/fpga/opencores/sd_interface/sim/filelist.icarus21
-rw-r--r--usrp2/fpga/opencores/sd_interface/sim/run.do5
-rw-r--r--usrp2/fpga/opencores/sd_interface/sim/run_icarus.bat2
-rw-r--r--usrp2/fpga/opencores/sd_interface/sim/testHarness5458
-rw-r--r--usrp2/fpga/opencores/sd_interface/sim/wave.do133
-rw-r--r--usrp2/fpga/opencores/sd_interface/syn/spiMaster.qpf23
-rw-r--r--usrp2/fpga/opencores/sd_interface/syn/spiMaster.qsf57
38 files changed, 11251 insertions, 0 deletions
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v b/usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v
new file mode 100644
index 000000000..2d26cdc01
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/RxFifo.v
@@ -0,0 +1,134 @@
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// RxFifo.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+//// parameterized RxFifo wrapper. Min depth = 2, Max depth = 65536
+//// fifo read access via bus interface, fifo write access is direct
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+
+module RxFifo(
+ busClk,
+ spiSysClk,
+ rstSyncToBusClk,
+ rstSyncToSpiClk,
+ fifoWEn,
+ fifoFull,
+ busAddress,
+ busWriteEn,
+ busStrobe_i,
+ busFifoSelect,
+ busDataIn,
+ busDataOut,
+ fifoDataIn );
+ //FIFO_DEPTH = 2^ADDR_WIDTH
+ parameter FIFO_DEPTH = 64;
+ parameter ADDR_WIDTH = 6;
+
+input busClk;
+input spiSysClk;
+input rstSyncToBusClk;
+input rstSyncToSpiClk;
+input fifoWEn;
+output fifoFull;
+input [2:0] busAddress;
+input busWriteEn;
+input busStrobe_i;
+input busFifoSelect;
+input [7:0] busDataIn;
+output [7:0] busDataOut;
+input [7:0] fifoDataIn;
+
+wire busClk;
+wire spiSysClk;
+wire rstSyncToBusClk;
+wire rstSyncToSpiClk;
+wire fifoWEn;
+wire fifoFull;
+wire [2:0] busAddress;
+wire busWriteEn;
+wire busStrobe_i;
+wire busFifoSelect;
+wire [7:0] busDataIn;
+wire [7:0] busDataOut;
+wire [7:0] fifoDataIn;
+
+//internal wires and regs
+wire [7:0] dataFromFifoToBus;
+wire fifoREn;
+wire forceEmptySyncToBusClk;
+wire forceEmptySyncToSpiClk;
+wire [15:0] numElementsInFifo;
+wire fifoEmpty; //not used
+
+fifoRTL #(8, FIFO_DEPTH, ADDR_WIDTH) u_fifo(
+ .wrClk(spiSysClk),
+ .rdClk(busClk),
+ .rstSyncToWrClk(rstSyncToSpiClk),
+ .rstSyncToRdClk(rstSyncToBusClk),
+ .dataIn(fifoDataIn),
+ .dataOut(dataFromFifoToBus),
+ .fifoWEn(fifoWEn),
+ .fifoREn(fifoREn),
+ .fifoFull(fifoFull),
+ .fifoEmpty(fifoEmpty),
+ .forceEmptySyncToWrClk(forceEmptySyncToSpiClk),
+ .forceEmptySyncToRdClk(forceEmptySyncToBusClk),
+ .numElementsInFifo(numElementsInFifo) );
+
+RxfifoBI u_RxfifoBI(
+ .address(busAddress),
+ .writeEn(busWriteEn),
+ .strobe_i(busStrobe_i),
+ .busClk(busClk),
+ .spiSysClk(spiSysClk),
+ .rstSyncToBusClk(rstSyncToBusClk),
+ .fifoSelect(busFifoSelect),
+ .fifoDataIn(dataFromFifoToBus),
+ .busDataIn(busDataIn),
+ .busDataOut(busDataOut),
+ .fifoREn(fifoREn),
+ .forceEmptySyncToBusClk(forceEmptySyncToBusClk),
+ .forceEmptySyncToSpiClk(forceEmptySyncToSpiClk),
+ .numElementsInFifo(numElementsInFifo)
+ );
+
+endmodule
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v b/usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v
new file mode 100644
index 000000000..e7314750c
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/RxFifoBI.v
@@ -0,0 +1,149 @@
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// RxfifoBI.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+`include "spiMaster_defines.v"
+
+module RxfifoBI (
+ address,
+ writeEn,
+ strobe_i,
+ busClk,
+ spiSysClk,
+ rstSyncToBusClk,
+ fifoSelect,
+ fifoDataIn,
+ busDataIn,
+ busDataOut,
+ fifoREn,
+ forceEmptySyncToSpiClk,
+ forceEmptySyncToBusClk,
+ numElementsInFifo
+ );
+input [2:0] address;
+input writeEn;
+input strobe_i;
+input busClk;
+input spiSysClk;
+input rstSyncToBusClk;
+input [7:0] fifoDataIn;
+input [7:0] busDataIn;
+output [7:0] busDataOut;
+output fifoREn;
+output forceEmptySyncToSpiClk;
+output forceEmptySyncToBusClk;
+input [15:0] numElementsInFifo;
+input fifoSelect;
+
+
+wire [2:0] address;
+wire writeEn;
+wire strobe_i;
+wire busClk;
+wire spiSysClk;
+wire rstSyncToBusClk;
+wire [7:0] fifoDataIn;
+wire [7:0] busDataIn;
+reg [7:0] busDataOut;
+reg fifoREn;
+reg forceEmptySyncToSpiClk;
+wire forceEmptySyncToBusClk;
+wire [15:0] numElementsInFifo;
+wire fifoSelect;
+
+reg [5:0] forceEmptyShift;
+reg forceEmpty;
+reg forceEmptySyncToUsbClkFirst;
+
+//sync write
+always @(posedge busClk)
+begin
+ if (writeEn == 1'b1 && fifoSelect == 1'b1 &&
+ address == `FIFO_CONTROL_REG && strobe_i == 1'b1 && busDataIn[0] == 1'b1)
+ forceEmpty <= 1'b1;
+ else
+ forceEmpty <= 1'b0;
+end
+
+//generate 'forceEmptySyncToBusClk'
+//assuming that 'busClk' < 5 * 'spiSysClk'. ie 'busClk' < 240MHz
+always @(posedge busClk) begin
+ if (rstSyncToBusClk == 1'b1)
+ forceEmptyShift <= 6'b000000;
+ else begin
+ if (forceEmpty == 1'b1)
+ forceEmptyShift <= 6'b111111;
+ else
+ forceEmptyShift <= {1'b0, forceEmptyShift[5:1]};
+ end
+end
+assign forceEmptySyncToBusClk = forceEmptyShift[0];
+
+// double sync across clock domains to generate 'forceEmptySyncToWrClk'
+always @(posedge spiSysClk) begin
+ forceEmptySyncToUsbClkFirst <= forceEmptySyncToBusClk;
+ forceEmptySyncToSpiClk <= forceEmptySyncToUsbClkFirst;
+end
+
+// async read mux
+always @(address or fifoDataIn or numElementsInFifo)
+begin
+ case (address)
+ `FIFO_DATA_REG : busDataOut <= fifoDataIn;
+ `FIFO_DATA_COUNT_MSB : busDataOut <= numElementsInFifo[15:8];
+ `FIFO_DATA_COUNT_LSB : busDataOut <= numElementsInFifo[7:0];
+ default: busDataOut <= 8'h00;
+ endcase
+end
+
+//generate fifo read strobe
+always @(address or writeEn or strobe_i or fifoSelect) begin
+ if (address == `FIFO_DATA_REG && writeEn == 1'b0 &&
+ strobe_i == 1'b1 && fifoSelect == 1'b1)
+ fifoREn <= 1'b1;
+ else
+ fifoREn <= 1'b0;
+end
+
+
+endmodule
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v b/usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v
new file mode 100644
index 000000000..12e115659
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/TxFifo.v
@@ -0,0 +1,132 @@
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// TxFifo.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+//// parameterized TxFifo wrapper. Min depth = 2, Max depth = 65536
+//// fifo write access via bus interface, fifo read access is direct
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+
+module TxFifo(
+ busClk,
+ spiSysClk,
+ rstSyncToBusClk,
+ rstSyncToSpiClk,
+ fifoREn,
+ fifoEmpty,
+ busAddress,
+ busWriteEn,
+ busStrobe_i,
+ busFifoSelect,
+ busDataIn,
+ busDataOut,
+ fifoDataOut );
+ //FIFO_DEPTH = 2^ADDR_WIDTH
+ parameter FIFO_DEPTH = 64;
+ parameter ADDR_WIDTH = 6;
+
+input busClk;
+input spiSysClk;
+input rstSyncToBusClk;
+input rstSyncToSpiClk;
+input fifoREn;
+output fifoEmpty;
+input [2:0] busAddress;
+input busWriteEn;
+input busStrobe_i;
+input busFifoSelect;
+input [7:0] busDataIn;
+output [7:0] busDataOut;
+output [7:0] fifoDataOut;
+
+wire busClk;
+wire spiSysClk;
+wire rstSyncToBusClk;
+wire rstSyncToSpiClk;
+wire fifoREn;
+wire fifoEmpty;
+wire [2:0] busAddress;
+wire busWriteEn;
+wire busStrobe_i;
+wire busFifoSelect;
+wire [7:0] busDataIn;
+wire [7:0] busDataOut;
+wire [7:0] fifoDataOut;
+
+//internal wires and regs
+wire fifoWEn;
+wire forceEmptySyncToSpiClk;
+wire forceEmptySyncToBusClk;
+wire [15:0] numElementsInFifo;
+wire fifoFull;
+
+fifoRTL #(8, FIFO_DEPTH, ADDR_WIDTH) u_fifo(
+ .wrClk(busClk),
+ .rdClk(spiSysClk),
+ .rstSyncToWrClk(rstSyncToBusClk),
+ .rstSyncToRdClk(rstSyncToSpiClk),
+ .dataIn(busDataIn),
+ .dataOut(fifoDataOut),
+ .fifoWEn(fifoWEn),
+ .fifoREn(fifoREn),
+ .fifoFull(fifoFull),
+ .fifoEmpty(fifoEmpty),
+ .forceEmptySyncToWrClk(forceEmptySyncToBusClk),
+ .forceEmptySyncToRdClk(forceEmptySyncToSpiClk),
+ .numElementsInFifo(numElementsInFifo) );
+
+TxfifoBI u_TxfifoBI(
+ .address(busAddress),
+ .writeEn(busWriteEn),
+ .strobe_i(busStrobe_i),
+ .busClk(busClk),
+ .spiSysClk(spiSysClk),
+ .rstSyncToBusClk(rstSyncToBusClk),
+ .fifoSelect(busFifoSelect),
+ .busDataIn(busDataIn),
+ .busDataOut(busDataOut),
+ .fifoWEn(fifoWEn),
+ .forceEmptySyncToBusClk(forceEmptySyncToBusClk),
+ .forceEmptySyncToSpiClk(forceEmptySyncToSpiClk),
+ .numElementsInFifo(numElementsInFifo)
+ );
+
+endmodule
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v b/usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v
new file mode 100644
index 000000000..b3b860ef5
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/TxFifoBI.v
@@ -0,0 +1,139 @@
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// TxfifoBI.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2008 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+`include "spiMaster_defines.v"
+
+module TxfifoBI (
+ address, writeEn, strobe_i,
+ busClk,
+ spiSysClk,
+ rstSyncToBusClk,
+ fifoSelect,
+ busDataIn,
+ busDataOut,
+ fifoWEn,
+ forceEmptySyncToSpiClk,
+ forceEmptySyncToBusClk,
+ numElementsInFifo
+ );
+input [2:0] address;
+input writeEn;
+input strobe_i;
+input busClk;
+input spiSysClk;
+input rstSyncToBusClk;
+input [7:0] busDataIn;
+output [7:0] busDataOut;
+output fifoWEn;
+output forceEmptySyncToSpiClk;
+output forceEmptySyncToBusClk;
+input [15:0] numElementsInFifo;
+input fifoSelect;
+
+
+wire [2:0] address;
+wire writeEn;
+wire strobe_i;
+wire busClk;
+wire spiSysClk;
+wire rstSyncToBusClk;
+wire [7:0] busDataIn;
+wire [7:0] busDataOut;
+reg fifoWEn;
+reg forceEmptySyncToSpiClk;
+wire forceEmptySyncToBusClk;
+wire [15:0] numElementsInFifo;
+wire fifoSelect;
+
+reg [5:0] forceEmptyShift;
+reg forceEmpty;
+reg forceEmptySyncToSpiClkFirst;
+
+//sync write
+always @(posedge busClk)
+begin
+ if (writeEn == 1'b1 && fifoSelect == 1'b1 &&
+ address == `FIFO_CONTROL_REG && strobe_i == 1'b1 && busDataIn[0] == 1'b1)
+ forceEmpty <= 1'b1;
+ else
+ forceEmpty <= 1'b0;
+end
+
+//generate 'forceEmptySyncToBusClk'
+//assuming that 'busClk' < 5 * 'spiSysClk'. ie 'busClk' < 240MHz
+always @(posedge busClk) begin
+ if (rstSyncToBusClk == 1'b1)
+ forceEmptyShift <= 6'b000000;
+ else begin
+ if (forceEmpty == 1'b1)
+ forceEmptyShift <= 6'b111111;
+ else
+ forceEmptyShift <= {1'b0, forceEmptyShift[5:1]};
+ end
+end
+assign forceEmptySyncToBusClk = forceEmptyShift[0];
+
+// double sync across clock domains to generate 'forceEmptySyncToWrClk'
+always @(posedge spiSysClk) begin
+ forceEmptySyncToSpiClkFirst <= forceEmptySyncToBusClk;
+ forceEmptySyncToSpiClk <= forceEmptySyncToSpiClkFirst;
+end
+
+
+
+// async read mux
+assign busDataOut = 8'h00;
+
+
+//generate fifo write strobe
+always @(address or writeEn or strobe_i or fifoSelect or busDataIn) begin
+ if (address == `FIFO_DATA_REG && writeEn == 1'b1 &&
+ strobe_i == 1'b1 && fifoSelect == 1'b1)
+ fifoWEn <= 1'b1;
+ else
+ fifoWEn <= 1'b0;
+end
+
+
+endmodule
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v b/usrp2/fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v
new file mode 100644
index 000000000..b0baf57a2
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/ctrlStsRegBI.v
@@ -0,0 +1,267 @@
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// ctrlStsRegBI.v ////
+//// ////
+//// This file is part of the usbhostslave opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+`include "spiMaster_defines.v"
+
+module ctrlStsRegBI (
+ busClk,
+ rstFromWire,
+ dataIn,
+ dataOut,
+ address,
+ writeEn,
+ strobe_i,
+ spiSysClk,
+ spiTransType,
+ spiTransCtrl,
+ spiTransStatus,
+ spiDirectAccessTxData,
+ spiDirectAccessRxData,
+ ctrlStsRegSel,
+ rstSyncToBusClkOut,
+ rstSyncToSpiClkOut,
+ SDWriteError,
+ SDReadError,
+ SDInitError,
+ SDAddr,
+ spiClkDelay
+);
+
+input [7:0] dataIn;
+input [7:0] address;
+input writeEn;
+input strobe_i;
+input busClk;
+input spiSysClk;
+output [7:0] dataOut;
+input ctrlStsRegSel;
+output [1:0] spiTransType;
+output spiTransCtrl;
+input spiTransStatus;
+output [7:0] spiDirectAccessTxData;
+reg [7:0] spiDirectAccessTxData;
+input [7:0] spiDirectAccessRxData;
+input rstFromWire;
+output rstSyncToBusClkOut;
+output rstSyncToSpiClkOut;
+input [1:0] SDWriteError;
+input [1:0] SDReadError;
+input [1:0] SDInitError;
+output [31:0] SDAddr;
+reg [31:0] SDAddr;
+output [7:0] spiClkDelay;
+reg [7:0] spiClkDelay;
+
+wire [7:0] dataIn;
+wire [7:0] address;
+wire writeEn;
+wire strobe_i;
+wire clk;
+reg [7:0] dataOut;
+reg [1:0] spiTransType;
+reg spiTransCtrl;
+wire ctrlStsRegSel;
+wire rstFromWire;
+reg rstSyncToBusClkOut;
+reg rstSyncToSpiClkOut;
+
+//internal wire and regs
+reg [5:0] rstShift;
+reg rstFromBus;
+reg [7:0] spiDirectAccessTxDataSTB;
+reg [7:0] spiDirectAccessRxDataSTB;
+reg [1:0] spiTransTypeSTB;
+reg spiTransCtrlSTB;
+reg spiTransStatusSTB;
+reg rstSyncToSpiClkFirst;
+reg [5:0] spiTransCtrlShift;
+reg spiTransStatusReg1;
+reg spiTransStatusReg2;
+reg [1:0] SDWriteErrorSTB;
+reg [1:0] SDReadErrorSTB;
+reg [1:0] SDInitErrorSTB;
+reg spiTransCtrl_reg1;
+reg spiTransCtrl_reg2;
+reg spiTransCtrl_reg3;
+
+//sync write demux
+always @(posedge busClk)
+begin
+ if (rstSyncToBusClkOut == 1'b1) begin
+ spiTransTypeSTB <= `DIRECT_ACCESS;
+ spiTransCtrlSTB <= `TRANS_STOP;
+ spiDirectAccessTxDataSTB <= 8'h00;
+ spiClkDelay <= `FAST_SPI_CLK;
+ end
+ else begin
+ if (writeEn == 1'b1 && ctrlStsRegSel == 1'b1 && strobe_i == 1'b1 && address == `SPI_MASTER_CONTROL_REG && dataIn[1] == 1'b1 )
+ rstFromBus <= 1'b1;
+ else
+ rstFromBus <= 1'b0;
+ if (writeEn == 1'b1 && ctrlStsRegSel == 1'b1 && strobe_i == 1'b1 && address == `TRANS_CTRL_REG && dataIn[0] == 1'b1 )
+ spiTransCtrlSTB <= 1'b1;
+ else
+ spiTransCtrlSTB <= 1'b0;
+ if (writeEn == 1'b1 && ctrlStsRegSel == 1'b1 && strobe_i == 1'b1) begin
+ case (address)
+ `TRANS_TYPE_REG: spiTransTypeSTB <= dataIn[1:0];
+ `SD_ADDR_7_0_REG: SDAddr[7:0] <= dataIn;
+ `SD_ADDR_15_8_REG: SDAddr[15:8] <= dataIn;
+ `SD_ADDR_23_16_REG: SDAddr[23:16] <= dataIn;
+ `SD_ADDR_31_24_REG: SDAddr[31:24] <= dataIn;
+ `SPI_CLK_DEL_REG: spiClkDelay <= dataIn;
+ `DIRECT_ACCESS_DATA_REG: spiDirectAccessTxDataSTB <= dataIn;
+ endcase
+ end
+ end
+end
+
+// async read mux
+always @(address or spiTransTypeSTB or spiTransCtrlSTB or
+ spiTransStatusSTB or spiDirectAccessRxDataSTB or
+ SDAddr or SDInitErrorSTB or SDReadErrorSTB or SDWriteErrorSTB or
+ spiClkDelay)
+begin
+ case (address)
+ `SPI_MASTER_VERSION_REG: dataOut <= `SPI_MASTER_VERSION_NUM;
+ `TRANS_TYPE_REG: dataOut <= { 6'b000000, spiTransTypeSTB};
+ `TRANS_CTRL_REG: dataOut <= { 7'b0000000, spiTransCtrlSTB};
+ `TRANS_STS_REG: dataOut <= { 7'b0000000, spiTransStatusSTB};
+ `TRANS_ERROR_REG: dataOut <= {2'b00, SDWriteErrorSTB, SDReadErrorSTB, SDInitErrorSTB};
+ `SD_ADDR_7_0_REG: dataOut <= SDAddr[7:0];
+ `SD_ADDR_15_8_REG: dataOut <= SDAddr[15:8];
+ `SD_ADDR_23_16_REG: dataOut <= SDAddr[23:16];
+ `SD_ADDR_31_24_REG: dataOut <= SDAddr[31:24];
+ `SPI_CLK_DEL_REG: dataOut <= spiClkDelay;
+ `DIRECT_ACCESS_DATA_REG: dataOut <= spiDirectAccessRxDataSTB;
+ default: dataOut <= 8'h00;
+ endcase
+end
+
+// reset control
+//generate 'rstSyncToBusClk'
+//assuming that 'busClk' < 5 * 'spiSysClk'.
+always @(posedge busClk) begin
+ if (rstFromWire == 1'b1 || rstFromBus == 1'b1)
+ rstShift <= 6'b111111;
+ else
+ rstShift <= {1'b0, rstShift[5:1]};
+end
+
+always @(rstShift)
+ rstSyncToBusClkOut <= rstShift[0];
+
+// double sync across clock domains to generate 'rstSyncToSpiClkOut'
+always @(posedge spiSysClk) begin
+ rstSyncToSpiClkFirst <= rstSyncToBusClkOut;
+ rstSyncToSpiClkOut <= rstSyncToSpiClkFirst;
+end
+
+
+// spi transaction control
+//assuming that 'busClk' < 5 * 'spiSysClk'.
+always @(posedge busClk) begin
+ if (rstSyncToBusClkOut == 1'b1)
+ spiTransCtrlShift <= 6'b000000;
+ else if (spiTransCtrlSTB == 1'b1)
+ spiTransCtrlShift <= 6'b111111;
+ else
+ spiTransCtrlShift <= {1'b0, spiTransCtrlShift[5:1]};
+end
+
+//re-sync to spiSysClk
+always @(posedge spiSysClk) begin
+ if (rstSyncToSpiClkOut == 1'b1) begin
+ spiTransCtrl_reg1 <= 1'b0;
+ spiTransCtrl_reg2 <= 1'b0;
+ spiTransCtrl_reg3 <= 1'b0;
+ end
+ else begin
+ spiTransCtrl_reg1 <= spiTransCtrlShift[0];
+ spiTransCtrl_reg2 <= spiTransCtrl_reg1;
+ spiTransCtrl_reg3 <= spiTransCtrl_reg2;
+ if (spiTransCtrl_reg3 == 1'b0 && spiTransCtrl_reg2 == 1'b1)
+ spiTransCtrl <= `TRANS_START;
+ else
+ spiTransCtrl <= `TRANS_STOP;
+ end
+end
+
+
+
+//re-sync from busClk to spiSysClk.
+always @(posedge spiSysClk) begin
+ if (rstSyncToSpiClkOut == 1'b1) begin
+ spiTransType <= `DIRECT_ACCESS;
+ spiDirectAccessTxData <= 8'h00;
+ end
+ else begin
+ spiDirectAccessTxData <= spiDirectAccessTxDataSTB;
+ spiTransType <= spiTransTypeSTB;
+ end
+end
+
+//re-sync from spiSysClk to busClk
+always @(posedge busClk) begin
+ if (rstSyncToBusClkOut == 1'b1) begin
+ spiTransStatusSTB <= `TRANS_NOT_BUSY;
+ spiTransStatusReg1 <= `TRANS_NOT_BUSY;
+ spiTransStatusReg2 <= `TRANS_NOT_BUSY;
+ end
+ else begin
+ spiTransStatusReg1 <= spiTransStatus;
+ spiTransStatusReg2 <= spiTransStatusReg1;
+ if (spiTransCtrlSTB == `TRANS_START)
+ spiTransStatusSTB <= `TRANS_BUSY;
+ else if (spiTransStatusReg2 == `TRANS_BUSY && spiTransStatusReg1 == `TRANS_NOT_BUSY)
+ spiTransStatusSTB <= `TRANS_NOT_BUSY;
+ end
+ spiDirectAccessRxDataSTB <= spiDirectAccessRxData;
+ SDWriteErrorSTB <= SDWriteError;
+ SDReadErrorSTB <= SDReadError;
+ SDInitErrorSTB <= SDInitError;
+end
+
+endmodule
+
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v b/usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v
new file mode 100644
index 000000000..66cd253fb
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/dpMem_dc.v
@@ -0,0 +1,84 @@
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// dpMem_dc.v ////
+//// ////
+//// This file is part of the usbhostslave opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+//// Synchronous dual port memory with dual clocks
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+
+module dpMem_dc( addrIn, addrOut, wrClk, rdClk, dataIn, writeEn, readEn, dataOut);
+ //FIFO_DEPTH = ADDR_WIDTH^2
+ parameter FIFO_WIDTH = 8;
+ parameter FIFO_DEPTH = 64;
+ parameter ADDR_WIDTH = 6;
+
+input wrClk;
+input rdClk;
+input [FIFO_WIDTH-1:0] dataIn;
+output [FIFO_WIDTH-1:0] dataOut;
+input writeEn;
+input readEn;
+input [ADDR_WIDTH-1:0] addrIn;
+input [ADDR_WIDTH-1:0] addrOut;
+
+wire wrClk;
+wire rdClk;
+wire [FIFO_WIDTH-1:0] dataIn;
+reg [FIFO_WIDTH-1:0] dataOut;
+wire writeEn;
+wire readEn;
+wire [ADDR_WIDTH-1:0] addrIn;
+wire [ADDR_WIDTH-1:0] addrOut;
+
+reg [FIFO_WIDTH-1:0] buffer [0:FIFO_DEPTH-1];
+
+// synchronous read. Introduces one clock cycle delay
+always @(posedge rdClk) begin
+ dataOut <= buffer[addrOut];
+end
+
+// synchronous write
+always @(posedge wrClk) begin
+ if (writeEn == 1'b1)
+ buffer[addrIn] <= dataIn;
+end
+
+
+endmodule
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v b/usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v
new file mode 100644
index 000000000..a20c4f851
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/fifoRTL.v
@@ -0,0 +1,164 @@
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// fifoRTL.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+//// parameterized dual clock domain fifo.
+//// fifo depth is restricted to 2^ADDR_WIDTH
+//// No protection against over runs and under runs.
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+
+module fifoRTL(wrClk, rdClk, rstSyncToWrClk, rstSyncToRdClk, dataIn,
+ dataOut, fifoWEn, fifoREn, fifoFull, fifoEmpty,
+ forceEmptySyncToWrClk, forceEmptySyncToRdClk, numElementsInFifo);
+//FIFO_DEPTH = ADDR_WIDTH^2. Min = 2, Max = 66536
+ parameter FIFO_WIDTH = 8;
+ parameter FIFO_DEPTH = 64;
+ parameter ADDR_WIDTH = 6;
+
+// Two clock domains within this module
+// These ports are within 'wrClk' domain
+input wrClk;
+input rstSyncToWrClk;
+input [FIFO_WIDTH-1:0] dataIn;
+input fifoWEn;
+input forceEmptySyncToWrClk;
+output fifoFull;
+
+// These ports are within 'rdClk' domain
+input rdClk;
+input rstSyncToRdClk;
+output [FIFO_WIDTH-1:0] dataOut;
+input fifoREn;
+input forceEmptySyncToRdClk;
+output fifoEmpty;
+output [15:0]numElementsInFifo; //note that this implies a max fifo depth of 65536
+
+wire wrClk;
+wire rdClk;
+wire rstSyncToWrClk;
+wire rstSyncToRdClk;
+wire [FIFO_WIDTH-1:0] dataIn;
+reg [FIFO_WIDTH-1:0] dataOut;
+wire fifoWEn;
+wire fifoREn;
+reg fifoFull;
+reg fifoEmpty;
+wire forceEmpty;
+reg [15:0]numElementsInFifo;
+
+
+// local registers
+reg [ADDR_WIDTH:0]bufferInIndex;
+reg [ADDR_WIDTH:0]bufferInIndexSyncToRdClk;
+reg [ADDR_WIDTH:0]bufferOutIndex;
+reg [ADDR_WIDTH:0]bufferOutIndexSyncToWrClk;
+reg [ADDR_WIDTH-1:0]bufferInIndexToMem;
+reg [ADDR_WIDTH-1:0]bufferOutIndexToMem;
+reg [ADDR_WIDTH:0]bufferCnt;
+reg fifoREnDelayed;
+wire [FIFO_WIDTH-1:0] dataFromMem;
+
+always @(posedge wrClk)
+begin
+ bufferOutIndexSyncToWrClk <= bufferOutIndex;
+ if (rstSyncToWrClk == 1'b1 || forceEmptySyncToWrClk == 1'b1)
+ begin
+ fifoFull <= 1'b0;
+ bufferInIndex <= 0;
+ end
+ else
+ begin
+ if (fifoWEn == 1'b1) begin
+ bufferInIndex <= bufferInIndex + 1'b1;
+ end
+ if ((bufferOutIndexSyncToWrClk[ADDR_WIDTH-1:0] == bufferInIndex[ADDR_WIDTH-1:0]) &&
+ (bufferOutIndexSyncToWrClk[ADDR_WIDTH] != bufferInIndex[ADDR_WIDTH]) )
+ fifoFull <= 1'b1;
+ else
+ fifoFull <= 1'b0;
+ end
+end
+
+always @(bufferInIndexSyncToRdClk or bufferOutIndex)
+ bufferCnt <= bufferInIndexSyncToRdClk - bufferOutIndex;
+
+always @(posedge rdClk)
+begin
+ numElementsInFifo <= { {16-ADDR_WIDTH-1{1'b0}}, bufferCnt }; //pad bufferCnt with leading zeroes
+ bufferInIndexSyncToRdClk <= bufferInIndex;
+ if (rstSyncToRdClk == 1'b1 || forceEmptySyncToRdClk == 1'b1)
+ begin
+ fifoEmpty <= 1'b1;
+ bufferOutIndex <= 0;
+ fifoREnDelayed <= 1'b0;
+ end
+ else
+ begin
+ fifoREnDelayed <= fifoREn;
+ if (fifoREn == 1'b1 && fifoREnDelayed == 1'b0) begin
+ dataOut <= dataFromMem;
+ bufferOutIndex <= bufferOutIndex + 1'b1;
+ end
+ if (bufferInIndexSyncToRdClk == bufferOutIndex)
+ fifoEmpty <= 1'b1;
+ else
+ fifoEmpty <= 1'b0;
+ end
+end
+
+
+always @(bufferInIndex or bufferOutIndex) begin
+ bufferInIndexToMem <= bufferInIndex[ADDR_WIDTH-1:0];
+ bufferOutIndexToMem <= bufferOutIndex[ADDR_WIDTH-1:0];
+end
+
+dpMem_dc #(FIFO_WIDTH, FIFO_DEPTH, ADDR_WIDTH) u_dpMem_dc (
+ .addrIn(bufferInIndexToMem),
+ .addrOut(bufferOutIndexToMem),
+ .wrClk(wrClk),
+ .rdClk(rdClk),
+ .dataIn(dataIn),
+ .writeEn(fifoWEn),
+ .readEn(fifoREn),
+ .dataOut(dataFromMem));
+
+endmodule
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/initSD.asf b/usrp2/fpga/opencores/sd_interface/RTL/initSD.asf
new file mode 100644
index 000000000..5be88010e
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/initSD.asf
@@ -0,0 +1,226 @@
+VERSION=1.15
+HEADER
+FILE="initSD.asf"
+FID=4788d213
+LANGUAGE=VERILOG
+ENTITY="initSD"
+FRAMES=ON
+FREEOID=430
+"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// initSD.v ////\n//// ////\n//// This file is part of the spiMaster opencores effort.\n//// <http://www.opencores.org/cores//> ////\n//// ////\n//// Module Description: ////\n//// parameterized dual clock domain fifo. \n//// fifo depth is restricted to 2^ADDR_WIDTH\n//// No protection against over runs and under runs.\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from <http://www.opencores.org/lgpl.shtml> ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n`include \"timescale.v\"\n`include \"spiMaster_defines.v\"\n"
+END
+BUNDLES
+B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0
+B T "Conditions" 0,0,0 0 0 0 255,255,255 0 3125 0 0110 1 "Arial" 0
+B F "States" 0,0,0 0 0 1 0,255,0 1 3527 1480 0000 1 "Arial" 0
+B T "Actions" 0,0,0 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0
+B T "Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 0
+B L "Transitions" 0,0,0 0 0 1 0,0,0 1 3527 1480 0000 1 "Arial" 0
+B F "Ports" 0,0,0 0 0 1 0,255,255 1 3527 1480 0000 1 "Arial" 0
+B L "Errors" 255,0,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0
+B T "State Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 4
+B F "Current State" 255,255,0 0 0 1 255,255,0 1 3527 1480 0000 1 "Arial" 0
+B T "Comments" 157,157,157 0 0 1 255,255,255 0 3527 1480 0000 1 "Arial" 0
+B L "Info" 0,255,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0
+END
+INSTHEADER 1
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 5000,5000 10000,10000
+END
+INSTHEADER 141
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 168
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 322
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+OBJECTS
+S 286 169 24576 ELLIPSE "States" | 105808,239248 6500 6500
+L 285 286 0 TEXT "State Labels" | 105808,239248 1 0 0 "SEND_CMD\n/4/"
+I 284 169 0 Builtin Entry | 62832,259920
+G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 1 "Arial" 0 | 110650,276400 1 0 0 "Module: initSD"
+A 5 0 1 TEXT "Actions" | 30400,266400 1 0 0 "-- diagram ACTION"
+F 6 0 512 72 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,212603
+L 7 6 0 TEXT "Labels" | 31673,209974 1 0 0 "initSDSt"
+W 303 169 1 300 286 BEZIER "Transitions" | 115053,153196 98913,155348 68551,158239 60111,167048\
+ 51672,175858 50191,206794 54058,217015 57925,227237\
+ 74873,237191 81496,239444 88120,241697 94643,240900\
+ 99417,240430
+S 300 169 36864 ELLIPSE "States" | 121544,152859 6500 6500
+L 299 300 0 TEXT "State Labels" | 121544,152859 1 0 0 "CHK_FIN\n/7/"
+C 298 297 0 TEXT "Conditions" | 111248,181393 1 0 0 "sendCmdRdy == 1'b1"
+W 297 169 0 292 300 BEZIER "Transitions" | 108876,181563 115854,170249 110294,169239 118013,158314
+W 295 169 0 284 286 BEZIER "Transitions" | 66490,259920 75568,255313 91313,247447 100391,242840
+W 294 169 0 290 292 BEZIER "Transitions" | 105542,205568 105407,201842 105322,197326 105187,193600
+W 293 169 0 286 290 BEZIER "Transitions" | 105594,232759 105594,228491 105652,222790 105652,218522
+S 292 169 32768 ELLIPSE "States" | 105494,187111 6500 6500
+L 291 292 0 TEXT "State Labels" | 105494,187111 1 0 0 "WT_FIN\n/6/"
+S 290 169 28672 ELLIPSE "States" | 106036,212043 6500 6500
+L 289 290 0 TEXT "State Labels" | 106036,212043 1 0 0 "DEL\n/5/"
+A 288 286 4 TEXT "Actions" | 121320,255510 1 0 0 "cmdByte <= 8'h40; //CMD0\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'h95;\nsendCmdReq <= 1'b1;\nloopCnt <= loopCnt + 1'b1;\nspiCS_n <= 1'b0;"
+I 319 169 0 Builtin Exit | 136284,112401
+I 318 169 0 Builtin Link | 148672,125363
+L 317 318 0 TEXT "Labels" | 154672,125363 1 0 0 "WT_INIT_REQ"
+C 316 310 0 TEXT "Conditions" | 131001,148174 1 0 0 "respTout == 1'b1 || respByte != 8'h01"
+A 313 312 16 TEXT "Actions" | 106611,116426 1 0 0 "loopCnt <= 8'h00;"
+W 312 6 0 141 168 BEZIER "Transitions" | 111141,120168 111512,114462 111940,106474 111681,102457
+A 311 310 16 TEXT "Actions" | 132446,138965 1 0 0 "initError <= `INIT_CMD0_ERROR;"
+W 310 169 2 300 318 BEZIER "Transitions" | 125449,147664 131098,140939 143023,130088 148672,123363
+C 304 303 0 TEXT "Conditions" | 36809,151245 1 0 0 "(respTout == 1'b1 || respByte != 8'h01) && loopCnt != 8'hff"
+L 335 334 0 TEXT "State Labels" | 100580,187111 1 0 0 "WT_FIN\n/8/"
+S 334 349 45056 ELLIPSE "States" | 100580,187111 6500 6500
+W 331 349 0 325 323 BEZIER "Transitions" | 61576,259920 70654,255313 86399,247447 95477,242840
+W 330 349 0 334 327 BEZIER "Transitions" | 103962,181563 110940,170249 105380,169239 113099,158314
+C 329 330 0 TEXT "Conditions" | 106334,181393 1 0 0 "sendCmdRdy == 1'b1"
+L 328 327 0 TEXT "State Labels" | 116630,152859 1 0 0 "CHK_FIN\n/9/"
+S 327 349 49152 ELLIPSE "States" | 116630,152859 6500 6500
+W 326 349 1 327 323 BEZIER "Transitions" | 110139,153196 93999,155348 63637,158239 55197,167048\
+ 46758,175858 45277,206794 49144,217015 53011,227237\
+ 69959,237191 76582,239444 83206,241697 89729,240900\
+ 94503,240430
+I 325 349 0 Builtin Entry | 57918,259920
+L 324 323 0 TEXT "State Labels" | 100894,239248 1 0 0 "SEND_CMD\n/10/"
+S 323 349 53248 ELLIPSE "States" | 100894,239248 6500 6500
+S 322 6 40964 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 112467,68151 6500 6500
+A 321 297 16 TEXT "Actions" | 108930,174030 1 0 0 "spiCS_n <= 1'b1;"
+W 320 169 3 300 319 BEZIER "Transitions" | 123051,146539 126378,137861 129956,121079 133283,112401
+S 351 349 57344 ELLIPSE "States" | 157173,196494 6500 6500
+L 350 351 0 TEXT "State Labels" | 157173,196494 1 0 0 "DEL1\n/11/"
+L 348 322 0 TEXT "State Labels" | 112467,68151 1 0 0 "INIT"
+W 347 349 3 327 339 BEZIER "Transitions" | 118137,146539 108945,133720 116197,116503 127432,110902
+A 346 330 16 TEXT "Actions" | 104016,174030 1 0 0 "spiCS_n <= 1'b1;"
+C 345 326 0 TEXT "Conditions" | 31895,151245 1 0 0 "(respTout == 1'b1 || respByte != 8'h00) && loopCnt != 8'hff"
+W 344 349 2 327 339 BEZIER "Transitions" | 120695,147788 164668,142776 140351,119298 127432,110895
+A 343 344 16 TEXT "Actions" | 144159,136027 1 0 0 "initError <= `INIT_CMD1_ERROR;"
+C 342 344 0 TEXT "Conditions" | 128427,151693 1 0 0 "respTout == 1'b1 || respByte != 8'h00"
+I 339 349 0 Builtin Exit | 130010,110769
+A 338 323 4 TEXT "Actions" | 116406,255510 1 0 0 "cmdByte <= 8'h41; //CMD1\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'hff;\nsendCmdReq <= 1'b1;\nloopCnt <= loopCnt + 1'b1;\nspiCS_n <= 1'b0;\ndelCnt1 <= 10'h000;"
+H 349 322 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+I 74 0 2 Builtin InPort | 195700,267632 "" ""
+L 73 74 0 TEXT "Labels" | 201700,267632 1 0 0 "rst"
+I 72 0 3 Builtin InPort | 195700,272800 "" ""
+L 71 72 0 TEXT "Labels" | 201700,272800 1 0 0 "clk"
+I 366 0 130 Builtin OutPort | 86503,262498 "" ""
+L 365 366 0 TEXT "Labels" | 92503,262498 1 0 0 "cmdByte[7:0]"
+L 367 368 0 TEXT "Labels" | 92258,258018 1 0 0 "dataByte1[7:0]"
+W 363 6 0 322 102 BEZIER "Transitions" | 107085,71794 94246,83115 68667,103061 63765,115078\
+ 58864,127095 64936,152522 71324,159511 77712,166500\
+ 95428,168622 105168,169887
+W 362 6 0 168 322 BEZIER "Transitions" | 111422,89512 111675,84705 111722,79427 111975,74620
+W 361 349 0 323 351 BEZIER "Transitions" | 100927,232798 101473,229591 102027,224817 103255,221746\
+ 104484,218676 108306,212805 113697,211372 119089,209939\
+ 136835,210076 142431,209700 148027,209325 152669,207687\
+ 153999,206697 155330,205708 155754,204059 156095,202899
+C 360 359 0 TEXT "Conditions" | 114039,204684 1 0 0 "delCnt1 == `TWO_MS"
+W 359 349 1 351 334 BEZIER "Transitions" | 150748,197472 141944,198154 126119,199907 119942,199054\
+ 113766,198201 108641,194176 105092,191788
+C 358 357 0 TEXT "Conditions" | 157694,164664 1 0 0 "delCnt2 == 8'hff"
+W 357 349 0 353 351 BEZIER "Transitions" | 163535,169133 171628,167736 181061,162846 187169,163119\
+ 193277,163392 202696,170901 204880,177350 207064,183799\
+ 206381,202091 201331,206561 196281,211032 176760,210621\
+ 171096,209359 165432,208097 162592,204050 161023,201730
+W 356 349 2 351 353 BEZIER "Transitions" | 158302,190095 158438,187775 158562,182275 158679,179771
+A 355 351 4 TEXT "Actions" | 166182,199224 1 0 0 "delCnt1 <= delCnt1 + 1'b1;\ndelCnt2 <= 8'h00;\nsendCmdReq <= 1'b0;"
+A 354 353 4 TEXT "Actions" | 166728,176565 1 0 0 "delCnt2 <= delCnt2 + 1'b1;"
+S 353 349 61440 ELLIPSE "States" | 158538,173289 6500 6500
+L 352 353 0 TEXT "State Labels" | 158538,173289 1 0 0 "DEL2\n/12/"
+L 95 96 0 TEXT "Labels" | 155940,273023 1 0 0 "SDInitRdy"
+I 368 0 130 Builtin OutPort | 86258,258018 "" ""
+I 371 0 130 Builtin OutPort | 86455,252808 "" ""
+L 372 371 0 TEXT "Labels" | 92455,252808 1 0 0 "dataByte2[7:0]"
+I 373 0 130 Builtin OutPort | 86702,248115 "" ""
+L 374 373 0 TEXT "Labels" | 92702,248115 1 0 0 "dataByte3[7:0]"
+I 375 0 130 Builtin OutPort | 86702,243422 "" ""
+L 376 375 0 TEXT "Labels" | 92702,243422 1 0 0 "dataByte4[7:0]"
+L 383 384 0 TEXT "Labels" | 43326,249254 1 0 0 "delCnt1[9:0]"
+I 382 0 2 Builtin InPort | 89010,228836 "" ""
+L 381 382 0 TEXT "Labels" | 95010,228836 1 0 0 "sendCmdRdy"
+I 380 0 2 Builtin OutPort | 86796,234002 "" ""
+L 379 380 0 TEXT "Labels" | 92796,234002 1 0 0 "sendCmdReq"
+I 378 0 130 Builtin OutPort | 86578,238482 "" ""
+L 377 378 0 TEXT "Labels" | 92578,238482 1 0 0 "checkSumByte[7:0]"
+I 111 0 2 Builtin OutPort | 142296,249682 "" ""
+L 110 109 0 TEXT "Labels" | 150753,245041 1 0 0 "txDataFull"
+I 109 0 2 Builtin InPort | 144753,245041 "" ""
+W 106 6 0 102 141 BEZIER "Transitions" | 111478,164116 111546,159885 111249,139164 110939,132984
+W 105 6 0 100 102 BEZIER "Transitions" | 111805,187037 111601,183898 111568,180194 111364,177055
+S 102 6 4096 ELLIPSE "States" | 111630,170580 6500 6500
+L 101 102 0 TEXT "State Labels" | 111630,170580 1 0 0 "WT_INIT_REQ\n/1/"
+S 100 6 0 ELLIPSE "States" | 112176,193512 6500 6500
+L 99 100 0 TEXT "State Labels" | 112176,193512 1 0 0 "START\n/0/"
+I 96 0 2 Builtin OutPort | 149940,273023 "" ""
+L 97 98 0 TEXT "Labels" | 158664,268382 1 0 0 "SDInitReq"
+I 98 0 2 Builtin InPort | 152664,268382 "" ""
+I 399 0 130 Builtin InPort | 179837,253714 "" ""
+L 398 399 0 TEXT "Labels" | 185837,253714 1 0 0 "spiClkDelayIn[7:0]"
+I 397 0 130 Builtin OutPort | 150335,263636 "" ""
+L 396 397 0 TEXT "Labels" | 156335,263636 1 0 0 "initError[1:0]"
+I 395 0 2 Builtin OutPort | 142620,234260 "" ""
+L 394 395 0 TEXT "Labels" | 148620,234260 1 0 0 "spiCS_n"
+I 391 0 130 Builtin InPort | 88818,224341 "" ""
+L 390 391 0 TEXT "Labels" | 94818,224341 1 0 0 "respByte[7:0]"
+C 389 388 0 TEXT "Conditions" | 64133,197548 1 0 0 "rst == 1'b1"
+W 388 6 0 387 100 BEZIER "Transitions" | 49555,202550 64193,201024 91216,196545 105854,195019
+I 387 6 0 Builtin Reset | 49555,202550
+I 386 0 130 Builtin Signal | 40326,244334 "" ""
+L 385 386 0 TEXT "Labels" | 43326,244334 1 0 0 "delCnt2[7:0]"
+I 384 0 130 Builtin Signal | 40326,249254 "" ""
+C 123 106 0 TEXT "Conditions" | 112795,161807 1 0 0 "SDInitReq == 1'b1"
+L 118 117 0 TEXT "Labels" | 148296,254323 1 0 0 "txDataOut[7:0]"
+I 117 0 130 Builtin OutPort | 142296,254323 "" ""
+L 112 111 0 TEXT "Labels" | 148296,249682 1 0 0 "txDataWen"
+L 392 393 0 TEXT "Labels" | 94804,219488 1 0 0 "respTout"
+I 393 0 2 Builtin InPort | 88804,219488 "" ""
+I 405 0 2 Builtin InPort | 123780,223280 "" ""
+L 404 405 0 TEXT "Labels" | 129780,223280 1 0 0 "rxDataRdy"
+I 403 0 2 Builtin OutPort | 121620,218480 "" ""
+L 402 403 0 TEXT "Labels" | 127620,218480 1 0 0 "rxDataRdyClr"
+S 401 142 65536 ELLIPSE "States" | 119702,164354 6500 6500
+L 400 401 0 TEXT "State Labels" | 119702,164354 1 0 0 "WT_DATA_EMPTY\n/13/"
+L 135 136 0 TEXT "Labels" | 92903,270215 1 0 0 "spiClkDelayOut[7:0]"
+I 136 0 130 Builtin OutPort | 86903,270215 "" ""
+A 137 100 4 TEXT "Actions" | 166381,206571 1 0 0 "spiClkDelayOut <= spiClkDelayIn;\nSDInitRdy <= 1'b0;\nspiCS_n <= 1'b1;\ninitError <= `INIT_NO_ERROR;\ntxDataOut <= 8'h00;\ntxDataWen <= 1'b0;\ncmdByte <= 8'h00;\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'h00;\nsendCmdReq <= 1'b0;\nloopCnt <= 8'h00;\ndelCnt1 <= 10'h000;\ndelCnt2 <= 8'h00;\nrxDataRdyClr <= 1'b0;"
+A 138 102 4 TEXT "Actions" | 122260,190788 1 0 0 "SDInitRdy <= 1'b1;\nspiClkDelayOut <= spiClkDelayIn;\ncmdByte <= 8'h00;\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'h00;"
+A 139 106 16 TEXT "Actions" | 102988,155532 1 0 0 "SDInitRdy <= 1'b0;\nloopCnt <= 8'h00;\nspiClkDelayOut <= `SLOW_SPI_CLK;\ninitError <= `INIT_NO_ERROR;"
+L 140 141 0 TEXT "State Labels" | 111114,126510 1 0 0 "CLK_SEQ"
+S 141 6 8196 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 111114,126510 6500 6500
+H 142 141 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+A 425 290 4 TEXT "Actions" | 124357,213854 1 0 0 "sendCmdReq <= 1'b0;"
+I 421 142 0 Builtin Exit | 134364,140858
+I 145 142 0 Builtin Entry | 63487,251949
+S 149 142 12288 ELLIPSE "States" | 82209,235260 6500 6500
+L 150 149 0 TEXT "State Labels" | 82209,235260 1 0 0 "SEND_FF\n/2/"
+S 151 142 16384 ELLIPSE "States" | 83028,207141 6500 6500
+L 152 151 0 TEXT "State Labels" | 83028,207141 1 0 0 "CHK_FIN\n/3/"
+W 153 142 0 149 151 BEZIER "Transitions" | 82316,228817 82452,225541 82726,217079 82876,213607
+C 154 153 0 TEXT "Conditions" | 86589,230362 1 0 0 "txDataFull == 1'b0"
+A 155 153 16 TEXT "Actions" | 85757,225151 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;\nloopCnt <= loopCnt + 1'b1;"
+A 156 151 4 TEXT "Actions" | 101046,207687 1 0 0 "txDataWen <= 1'b0;"
+L 426 427 0 TEXT "Labels" | 150400,240650 1 0 0 "txDataEmpty"
+I 427 0 2 Builtin InPort | 144400,240650 "" ""
+W 428 142 0 401 421 BEZIER "Transitions" | 123115,158823 126115,154198 128614,145483 131614,140858
+C 429 428 0 TEXT "Conditions" | 127025,156275 1 0 0 "txDataEmpty == 1'b1"
+W 162 142 0 145 149 BEZIER "Transitions" | 67172,251949 70925,248810 74553,243594 78306,240455
+W 164 142 1 151 401 BEZIER "Transitions" | 85234,201030 86934,197154 103559,165433 113217,164792
+C 165 164 0 TEXT "Conditions" | 91028,195541 1 0 0 "loopCnt == `SD_INIT_START_SEQ_LEN"
+W 166 142 2 151 149 BEZIER "Transitions" | 76635,205968 69903,206580 58140,206268 54570,210178\
+ 51000,214088 50184,228504 53380,232380 56576,236256\
+ 69005,235825 75805,236369
+L 167 168 0 TEXT "State Labels" | 111972,95982 1 0 0 "RESET"
+S 168 6 20484 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 111972,95982 6500 6500
+H 169 168 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+L 191 192 0 TEXT "Labels" | 43350,253948 1 0 0 "loopCnt[7:0]"
+I 192 0 130 Builtin Signal | 40350,253948 "" ""
+END
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/initSD.v b/usrp2/fpga/opencores/sd_interface/RTL/initSD.v
new file mode 100644
index 000000000..80b44673f
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/initSD.v
@@ -0,0 +1,386 @@
+
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// initSD.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+//// parameterized dual clock domain fifo.
+//// fifo depth is restricted to 2^ADDR_WIDTH
+//// No protection against over runs and under runs.
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+`include "spiMaster_defines.v"
+
+module initSD (checkSumByte, clk, cmdByte, dataByte1, dataByte2, dataByte3, dataByte4, initError, respByte, respTout, rst, rxDataRdy, rxDataRdyClr, SDInitRdy, SDInitReq, sendCmdRdy, sendCmdReq, spiClkDelayIn, spiClkDelayOut, spiCS_n, txDataEmpty, txDataFull, txDataOut, txDataWen);
+input clk;
+input [7:0]respByte;
+input respTout;
+input rst;
+input rxDataRdy;
+input SDInitReq;
+input sendCmdRdy;
+input [7:0]spiClkDelayIn;
+input txDataEmpty;
+input txDataFull;
+output [7:0]checkSumByte;
+output [7:0]cmdByte;
+output [7:0]dataByte1;
+output [7:0]dataByte2;
+output [7:0]dataByte3;
+output [7:0]dataByte4;
+output [1:0]initError;
+output rxDataRdyClr;
+output SDInitRdy;
+output sendCmdReq;
+output [7:0]spiClkDelayOut;
+output spiCS_n;
+output [7:0]txDataOut;
+output txDataWen;
+
+reg [7:0]checkSumByte, next_checkSumByte;
+wire clk;
+reg [7:0]cmdByte, next_cmdByte;
+reg [7:0]dataByte1, next_dataByte1;
+reg [7:0]dataByte2, next_dataByte2;
+reg [7:0]dataByte3, next_dataByte3;
+reg [7:0]dataByte4, next_dataByte4;
+reg [1:0]initError, next_initError;
+wire [7:0]respByte;
+wire respTout;
+wire rst;
+wire rxDataRdy;
+reg rxDataRdyClr, next_rxDataRdyClr;
+reg SDInitRdy, next_SDInitRdy;
+wire SDInitReq;
+wire sendCmdRdy;
+reg sendCmdReq, next_sendCmdReq;
+wire [7:0]spiClkDelayIn;
+reg [7:0]spiClkDelayOut, next_spiClkDelayOut;
+reg spiCS_n, next_spiCS_n;
+wire txDataEmpty;
+wire txDataFull;
+reg [7:0]txDataOut, next_txDataOut;
+reg txDataWen, next_txDataWen;
+
+// diagram signals declarations
+reg [9:0]delCnt1, next_delCnt1;
+reg [7:0]delCnt2, next_delCnt2;
+reg [7:0]loopCnt, next_loopCnt;
+
+// BINARY ENCODED state machine: initSDSt
+// State codes definitions:
+`define START 4'b0000
+`define WT_INIT_REQ 4'b0001
+`define CLK_SEQ_SEND_FF 4'b0010
+`define CLK_SEQ_CHK_FIN 4'b0011
+`define RESET_SEND_CMD 4'b0100
+`define RESET_DEL 4'b0101
+`define RESET_WT_FIN 4'b0110
+`define RESET_CHK_FIN 4'b0111
+`define INIT_WT_FIN 4'b1000
+`define INIT_CHK_FIN 4'b1001
+`define INIT_SEND_CMD 4'b1010
+`define INIT_DEL1 4'b1011
+`define INIT_DEL2 4'b1100
+`define CLK_SEQ_WT_DATA_EMPTY 4'b1101
+
+reg [3:0]CurrState_initSDSt, NextState_initSDSt;
+
+// Diagram actions (continuous assignments allowed only: assign ...)
+// diagram ACTION
+
+
+// Machine: initSDSt
+
+// NextState logic (combinatorial)
+always @ (spiClkDelayIn or SDInitReq or txDataFull or loopCnt or sendCmdRdy or respTout or respByte or delCnt1 or delCnt2 or txDataEmpty or spiClkDelayOut or SDInitRdy or spiCS_n or initError or txDataOut or txDataWen or cmdByte or dataByte1 or dataByte2 or dataByte3 or dataByte4 or checkSumByte or sendCmdReq or rxDataRdyClr or CurrState_initSDSt)
+begin
+ NextState_initSDSt <= CurrState_initSDSt;
+ // Set default values for outputs and signals
+ next_spiClkDelayOut <= spiClkDelayOut;
+ next_SDInitRdy <= SDInitRdy;
+ next_spiCS_n <= spiCS_n;
+ next_initError <= initError;
+ next_txDataOut <= txDataOut;
+ next_txDataWen <= txDataWen;
+ next_cmdByte <= cmdByte;
+ next_dataByte1 <= dataByte1;
+ next_dataByte2 <= dataByte2;
+ next_dataByte3 <= dataByte3;
+ next_dataByte4 <= dataByte4;
+ next_checkSumByte <= checkSumByte;
+ next_sendCmdReq <= sendCmdReq;
+ next_loopCnt <= loopCnt;
+ next_delCnt1 <= delCnt1;
+ next_delCnt2 <= delCnt2;
+ next_rxDataRdyClr <= rxDataRdyClr;
+ case (CurrState_initSDSt) // synopsys parallel_case full_case
+ `START:
+ begin
+ next_spiClkDelayOut <= spiClkDelayIn;
+ next_SDInitRdy <= 1'b0;
+ next_spiCS_n <= 1'b1;
+ next_initError <= `INIT_NO_ERROR;
+ next_txDataOut <= 8'h00;
+ next_txDataWen <= 1'b0;
+ next_cmdByte <= 8'h00;
+ next_dataByte1 <= 8'h00;
+ next_dataByte2 <= 8'h00;
+ next_dataByte3 <= 8'h00;
+ next_dataByte4 <= 8'h00;
+ next_checkSumByte <= 8'h00;
+ next_sendCmdReq <= 1'b0;
+ next_loopCnt <= 8'h00;
+ next_delCnt1 <= 10'h000;
+ next_delCnt2 <= 8'h00;
+ next_rxDataRdyClr <= 1'b0;
+ NextState_initSDSt <= `WT_INIT_REQ;
+ end
+ `WT_INIT_REQ:
+ begin
+ next_SDInitRdy <= 1'b1;
+ next_spiClkDelayOut <= spiClkDelayIn;
+ next_cmdByte <= 8'h00;
+ next_dataByte1 <= 8'h00;
+ next_dataByte2 <= 8'h00;
+ next_dataByte3 <= 8'h00;
+ next_dataByte4 <= 8'h00;
+ next_checkSumByte <= 8'h00;
+ if (SDInitReq == 1'b1)
+ begin
+ NextState_initSDSt <= `CLK_SEQ_SEND_FF;
+ next_SDInitRdy <= 1'b0;
+ next_loopCnt <= 8'h00;
+ next_spiClkDelayOut <= `SLOW_SPI_CLK;
+ next_initError <= `INIT_NO_ERROR;
+ end
+ end
+ `CLK_SEQ_SEND_FF:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_initSDSt <= `CLK_SEQ_CHK_FIN;
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ next_loopCnt <= loopCnt + 1'b1;
+ end
+ end
+ `CLK_SEQ_CHK_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ if (loopCnt == `SD_INIT_START_SEQ_LEN)
+ begin
+ NextState_initSDSt <= `CLK_SEQ_WT_DATA_EMPTY;
+ end
+ else
+ begin
+ NextState_initSDSt <= `CLK_SEQ_SEND_FF;
+ end
+ end
+ `CLK_SEQ_WT_DATA_EMPTY:
+ begin
+ if (txDataEmpty == 1'b1)
+ begin
+ NextState_initSDSt <= `RESET_SEND_CMD;
+ next_loopCnt <= 8'h00;
+ end
+ end
+ `RESET_SEND_CMD:
+ begin
+ next_cmdByte <= 8'h40;
+ //CMD0
+ next_dataByte1 <= 8'h00;
+ next_dataByte2 <= 8'h00;
+ next_dataByte3 <= 8'h00;
+ next_dataByte4 <= 8'h00;
+ next_checkSumByte <= 8'h95;
+ next_sendCmdReq <= 1'b1;
+ next_loopCnt <= loopCnt + 1'b1;
+ next_spiCS_n <= 1'b0;
+ NextState_initSDSt <= `RESET_DEL;
+ end
+ `RESET_DEL:
+ begin
+ next_sendCmdReq <= 1'b0;
+ NextState_initSDSt <= `RESET_WT_FIN;
+ end
+ `RESET_WT_FIN:
+ begin
+ if (sendCmdRdy == 1'b1)
+ begin
+ NextState_initSDSt <= `RESET_CHK_FIN;
+ next_spiCS_n <= 1'b1;
+ end
+ end
+ `RESET_CHK_FIN:
+ begin
+ if ((respTout == 1'b1 || respByte != 8'h01) && loopCnt != 8'hff)
+ begin
+ NextState_initSDSt <= `RESET_SEND_CMD;
+ end
+ else if (respTout == 1'b1 || respByte != 8'h01)
+ begin
+ NextState_initSDSt <= `WT_INIT_REQ;
+ next_initError <= `INIT_CMD0_ERROR;
+ end
+ else
+ begin
+ NextState_initSDSt <= `INIT_SEND_CMD;
+ end
+ end
+ `INIT_WT_FIN:
+ begin
+ if (sendCmdRdy == 1'b1)
+ begin
+ NextState_initSDSt <= `INIT_CHK_FIN;
+ next_spiCS_n <= 1'b1;
+ end
+ end
+ `INIT_CHK_FIN:
+ begin
+ if ((respTout == 1'b1 || respByte != 8'h00) && loopCnt != 8'hff)
+ begin
+ NextState_initSDSt <= `INIT_SEND_CMD;
+ end
+ else if (respTout == 1'b1 || respByte != 8'h00)
+ begin
+ NextState_initSDSt <= `WT_INIT_REQ;
+ next_initError <= `INIT_CMD1_ERROR;
+ end
+ else
+ begin
+ NextState_initSDSt <= `WT_INIT_REQ;
+ end
+ end
+ `INIT_SEND_CMD:
+ begin
+ next_cmdByte <= 8'h41;
+ //CMD1
+ next_dataByte1 <= 8'h00;
+ next_dataByte2 <= 8'h00;
+ next_dataByte3 <= 8'h00;
+ next_dataByte4 <= 8'h00;
+ next_checkSumByte <= 8'hff;
+ next_sendCmdReq <= 1'b1;
+ next_loopCnt <= loopCnt + 1'b1;
+ next_spiCS_n <= 1'b0;
+ next_delCnt1 <= 10'h000;
+ NextState_initSDSt <= `INIT_DEL1;
+ end
+ `INIT_DEL1:
+ begin
+ next_delCnt1 <= delCnt1 + 1'b1;
+ next_delCnt2 <= 8'h00;
+ next_sendCmdReq <= 1'b0;
+ if (delCnt1 == `TWO_MS)
+ begin
+ NextState_initSDSt <= `INIT_WT_FIN;
+ end
+ else
+ begin
+ NextState_initSDSt <= `INIT_DEL2;
+ end
+ end
+ `INIT_DEL2:
+ begin
+ next_delCnt2 <= delCnt2 + 1'b1;
+ if (delCnt2 == 8'hff)
+ begin
+ NextState_initSDSt <= `INIT_DEL1;
+ end
+ end
+ endcase
+end
+
+// Current State Logic (sequential)
+always @ (posedge clk)
+begin
+ if (rst == 1'b1)
+ CurrState_initSDSt <= `START;
+ else
+ CurrState_initSDSt <= NextState_initSDSt;
+end
+
+// Registered outputs logic
+always @ (posedge clk)
+begin
+ if (rst == 1'b1)
+ begin
+ spiClkDelayOut <= spiClkDelayIn;
+ SDInitRdy <= 1'b0;
+ spiCS_n <= 1'b1;
+ initError <= `INIT_NO_ERROR;
+ txDataOut <= 8'h00;
+ txDataWen <= 1'b0;
+ cmdByte <= 8'h00;
+ dataByte1 <= 8'h00;
+ dataByte2 <= 8'h00;
+ dataByte3 <= 8'h00;
+ dataByte4 <= 8'h00;
+ checkSumByte <= 8'h00;
+ sendCmdReq <= 1'b0;
+ rxDataRdyClr <= 1'b0;
+ loopCnt <= 8'h00;
+ delCnt1 <= 10'h000;
+ delCnt2 <= 8'h00;
+ end
+ else
+ begin
+ spiClkDelayOut <= next_spiClkDelayOut;
+ SDInitRdy <= next_SDInitRdy;
+ spiCS_n <= next_spiCS_n;
+ initError <= next_initError;
+ txDataOut <= next_txDataOut;
+ txDataWen <= next_txDataWen;
+ cmdByte <= next_cmdByte;
+ dataByte1 <= next_dataByte1;
+ dataByte2 <= next_dataByte2;
+ dataByte3 <= next_dataByte3;
+ dataByte4 <= next_dataByte4;
+ checkSumByte <= next_checkSumByte;
+ sendCmdReq <= next_sendCmdReq;
+ rxDataRdyClr <= next_rxDataRdyClr;
+ loopCnt <= next_loopCnt;
+ delCnt1 <= next_delCnt1;
+ delCnt2 <= next_delCnt2;
+ end
+end
+
+endmodule \ No newline at end of file
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.asf b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.asf
new file mode 100644
index 000000000..32e59f00b
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.asf
@@ -0,0 +1,528 @@
+VERSION=1.15
+HEADER
+FILE="readWriteSDBlock.asf"
+FID=4788d213
+LANGUAGE=VERILOG
+ENTITY="readWriteSDBlock"
+FRAMES=ON
+FREEOID=575
+"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// readWriteSDBlock.v ////\n//// ////\n//// This file is part of the spiMaster opencores effort.\n//// <http://www.opencores.org/cores//> ////\n//// ////\n//// Module Description: ////\n//// parameterized dual clock domain fifo. \n//// fifo depth is restricted to 2^ADDR_WIDTH\n//// No protection against over runs and under runs.\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from <http://www.opencores.org/lgpl.shtml> ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n`include \"timescale.v\"\n`include \"spiMaster_defines.v\"\n"
+END
+BUNDLES
+B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0
+B T "Conditions" 0,0,0 0 0 0 255,255,255 0 3125 0 0110 1 "Arial" 0
+B F "States" 0,0,0 0 0 1 0,255,0 1 3527 1480 0000 1 "Arial" 0
+B T "Actions" 0,0,0 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0
+B T "Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 0
+B L "Transitions" 0,0,0 0 0 1 0,0,0 1 3527 1480 0000 1 "Arial" 0
+B F "Ports" 0,0,0 0 0 1 0,255,255 1 3527 1480 0000 1 "Arial" 0
+B L "Errors" 255,0,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0
+B T "State Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 4
+B F "Current State" 255,255,0 0 0 1 255,255,0 1 3527 1480 0000 1 "Arial" 0
+B T "Comments" 157,157,157 0 0 1 255,255,255 0 3527 1480 0000 1 "Arial" 0
+B L "Info" 0,255,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0
+END
+INSTHEADER 1
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 5000,5000 10000,10000
+END
+INSTHEADER 84
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 118
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 130
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 169
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 224
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 302
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 327
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 337
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 389
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+INSTHEADER 415
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+OBJECTS
+W 559 170 0 278 558 BEZIER "Transitions" | 159514,250710 169909,244734 184439,233702 194834,227726
+S 558 170 229376 ELLIPSE "States" | 199680,223395 6500 6500
+L 557 558 0 TEXT "State Labels" | 199680,223395 1 0 0 "DEL\n/47/"
+A 556 315 4 TEXT "Actions" | 131150,199900 1 0 0 "sendCmdReq <= 1'b0;"
+A 555 105 4 TEXT "Actions" | 131275,200525 1 0 0 "sendCmdReq <= 1'b0;"
+I 554 0 130 Builtin Signal | 33764,246565 "" ""
+L 553 554 0 TEXT "Labels" | 36764,246565 1 0 0 "locRespByte[7:0]"
+I 552 0 130 Builtin Signal | 160575,273050 "" ""
+L 551 552 0 TEXT "Labels" | 163575,273050 1 0 0 "timeOutCnt[11:0]"
+I 548 0 130 Builtin InPort | 32700,254660 "" ""
+L 547 548 0 TEXT "Labels" | 38700,254660 1 0 0 "blockAddr[31:0]"
+I 546 0 130 Builtin Signal | 161380,256608 "" ""
+L 545 546 0 TEXT "Labels" | 164380,256608 1 0 0 "delCnt2[7:0]"
+I 544 0 130 Builtin Signal | 161114,261928 "" ""
+W 287 170 3 273 278 BEZIER "Transitions" | 153140,203509 147585,209132 136504,218759 133963,224585\
+ 131423,230411 132372,242472 134709,246197 137046,249923\
+ 143477,251737 147677,253159
+C 283 275 0 TEXT "Conditions" | 163404,220919 1 0 0 "rxDataRdy == 1'b1"
+L 282 273 0 TEXT "State Labels" | 158403,199697 1 0 0 "CHK_RESP\n/20/"
+L 281 280 0 TEXT "State Labels" | 155702,223714 1 0 0 "REQ_RESP_FIN\n/22/"
+S 280 170 106496 ELLIPSE "States" | 155702,223714 6500 6500
+L 279 278 0 TEXT "State Labels" | 154080,254276 1 0 0 "REQ_RESP_ST\n/21/"
+S 278 170 102400 ELLIPSE "States" | 154080,254276 6500 6500
+I 277 170 0 Builtin Exit | 145690,169066
+A 276 275 16 TEXT "Actions" | 150887,216503 1 0 0 "locRespByte <= rxDataIn;"
+W 275 170 0 280 273 BEZIER "Transitions" | 156440,217258 156900,213346 157290,210028 157662,206152
+S 273 170 98304 ELLIPSE "States" | 158403,199697 6500 6500
+G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 1 "Arial" 0 | 110650,276400 1 0 0 "Module: readWriteSDBlock"
+A 5 0 1 TEXT "Actions" | 30400,266400 1 0 0 "-- diagram ACTION"
+F 6 0 512 72 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,27210 212900,223210
+L 7 6 0 TEXT "Labels" | 32996,218270 1 0 0 "rwBlkSt"
+A 574 348 16 TEXT "Actions" | 112284,154324 1 0 0 "locRespByte <= rxDataIn;"
+A 573 374 16 TEXT "Actions" | 60519,153465 1 0 0 "timeOutCnt <= timeOutCnt + 1'b1;\ndelCnt1 <= 8'h00;"
+A 572 239 16 TEXT "Actions" | 42138,190870 1 0 0 "timeOutCnt <= timeOutCnt + 1'b1;"
+A 571 235 16 TEXT "Actions" | 97926,168996 1 0 0 "locRespByte <= rxDataIn;"
+A 570 380 4 TEXT "Actions" | 118523,190933 1 0 0 "txDataWen <= 1'b0;\nrxDataRdyClr <= 1'b0;"
+C 569 459 0 TEXT "Conditions" | 151001,57686 1 0 0 "txDataEmpty == 1'b1"
+C 568 456 0 TEXT "Conditions" | 82775,122525 1 0 0 "loopCnt == 9'b000000000"
+C 566 296 0 TEXT "Conditions" | 164031,44934 1 0 0 "txDataEmpty == 1'b1"
+I 565 0 2 Builtin InPort | 116956,228328 "" ""
+L 564 565 0 TEXT "Labels" | 122956,228328 1 0 0 "txDataEmpty"
+A 563 562 16 TEXT "Actions" | 167684,228749 1 0 0 "txDataWen <= 1'b0;\nrxDataRdyClr <= 1'b0;"
+W 562 170 0 558 280 BEZIER "Transitions" | 193184,223621 184656,223621 170729,223805 162201,223805
+A 560 559 16 TEXT "Actions" | 163700,256139 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;\ntimeOutCnt <= timeOutCnt + 1'b1;\nrxDataRdyClr <= 1'b1;"
+L 303 302 0 TEXT "State Labels" | 155866,114847 1 0 0 "RD_CMD"
+S 302 6 110596 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 155866,114847 6500 6500
+W 301 6 0 169 224 BEZIER "Transitions" | 116491,60040 116453,54383 116661,57097 116661,51812
+W 300 6 0 130 169 BEZIER "Transitions" | 116096,82088 116419,75091 116419,77840 116311,72556
+W 299 6 0 84 130 BEZIER "Transitions" | 115210,103426 115637,98573 116012,99639 116012,95047
+W 298 6 0 128 84 BEZIER "Transitions" | 114213,168601 114343,164295 115365,122584 115190,116371
+W 297 6 0 82 128 BEZIER "Transitions" | 112965,189035 113095,186752 113278,183816 113408,181533
+W 296 170 0 204 278 BEZIER "Transitions" | 153459,62290 160628,57734 172959,48292 179659,46684\
+ 186359,45076 198821,47756 201970,53652 205119,59548\
+ 205253,80452 198486,92679 191719,104907 164517,132913\
+ 153797,143566 143077,154219 127399,168825 124585,181588\
+ 121771,194352 126193,230800 129007,242190 131821,253580\
+ 138655,262692 141703,264836 144752,266980 150112,266444\
+ 151686,265539 153261,264635 153717,262299 154186,260758
+A 295 291 16 TEXT "Actions" | 160354,178019 1 0 0 "writeError <= `WRITE_DATA_ERROR;"
+C 294 291 0 TEXT "Conditions" | 162436,185390 1 0 0 "timeOutCnt == `WR_RESP_TOUT"
+I 293 170 0 Builtin Link | 189438,166068
+L 292 293 0 TEXT "Labels" | 195438,166068 1 0 0 "WT_REQ"
+W 291 170 1 273 293 BEZIER "Transitions" | 162433,194598 169133,187027 182738,171639 189438,164068
+C 290 288 0 TEXT "Conditions" | 126676,185877 1 0 0 "locRespByte[4:0] == 5'h5"
+W 288 170 2 273 277 BEZIER "Transitions" | 156465,193495 155043,188346 148800,175094 145760,171065
+I 319 304 0 Builtin Link | 156420,111673
+I 318 304 0 Builtin Exit | 144032,98711
+A 317 305 4 TEXT "Actions" | 129068,241820 1 0 0 "cmdByte <= 8'h51; //CMD17 Block Read\ndataByte1 <= blockAddr[31:24];\ndataByte2 <= blockAddr[23:16];\ndataByte3 <= blockAddr[15:8];\ndataByte4 <= blockAddr[7:0];\ncheckSumByte <= 8'hff;\nsendCmdReq <= 1'b1;"
+L 316 315 0 TEXT "State Labels" | 113784,198353 1 0 0 "DEL\n/25/"
+S 315 304 122880 ELLIPSE "States" | 113784,198353 6500 6500
+L 314 313 0 TEXT "State Labels" | 113242,173421 1 0 0 "WT_FIN\n/24/"
+S 313 304 118784 ELLIPSE "States" | 113242,173421 6500 6500
+W 312 304 0 305 315 BEZIER "Transitions" | 113342,219069 113342,214801 113400,209100 113400,204832
+W 311 304 0 315 313 BEZIER "Transitions" | 113290,191878 113155,188152 113070,183636 112935,179910
+W 310 304 0 307 305 BEZIER "Transitions" | 74238,246230 83316,241623 99061,233757 108139,229150
+C 309 308 0 TEXT "Conditions" | 118993,167630 1 0 0 "sendCmdRdy == 1'b1"
+W 308 304 0 313 327 BEZIER "Transitions" | 116637,167879 123539,156637 117794,149336 126648,141321
+I 307 304 0 Builtin Entry | 70580,246230
+L 306 305 0 TEXT "State Labels" | 113556,225558 1 0 0 "SEND_CMD\n/23/"
+S 305 304 114688 ELLIPSE "States" | 113556,225558 6500 6500
+H 304 302 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+A 335 334 16 TEXT "Actions" | 134500,139322 1 0 0 "spiCS_n <= 1'b0;\nreadWriteSDBlockRdy <= 1'b0;\nreadError <= `READ_NO_ERROR;"
+W 334 6 0 128 302 BEZIER "Transitions" | 119969,172153 128545,167673 154370,136857 153592,120935
+A 333 298 16 TEXT "Actions" | 76094,136596 1 0 0 "spiCS_n <= 1'b0;\nreadWriteSDBlockRdy <= 1'b0;\nwriteError <= `WRITE_NO_ERROR;"
+A 332 128 4 TEXT "Actions" | 66248,183412 1 0 0 "spiCS_n <= 1'b1;\nreadWriteSDBlockRdy <= 1'b1;\ncmdByte <= 8'h00;\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'h00;"
+W 331 328 0 329 330 BEZIER "Transitions" | 100205,182880 103344,179877 105196,169695 108335,166692
+I 330 328 0 Builtin Exit | 110928,166692
+I 329 328 0 Builtin Entry | 96520,182880
+S 327 304 126980 ELLIPSE 0,0,0 0 0 1 255,0,0 1 | 128874,139185 3156 3017
+L 326 327 0 TEXT "State Labels" | 128942,138847 1 0 0 "J2"
+W 325 304 3 327 318 BEZIER "Transitions" | 129847,136319 133174,127641 137870,107389 141197,98711
+A 323 321 16 TEXT "Actions" | 139238,127895 1 0 0 "readError <= `READ_CMD_ERROR;"
+C 322 321 0 TEXT "Conditions" | 137153,138885 1 0 0 "respTout == 1'b1 || respByte != 8'h00"
+W 321 304 2 327 319 BEZIER "Transitions" | 131177,137123 136826,130398 150771,116398 156420,109673
+L 320 319 0 TEXT "Labels" | 162420,111673 1 0 0 "WT_REQ"
+H 328 327 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+S 351 338 139264 ELLIPSE "States" | 106026,166550 6500 6500
+W 350 338 0 368 369 BEZIER "Transitions" | 67549,239359 76627,234752 86180,250238 95258,245631
+C 349 348 0 TEXT "Conditions" | 111780,160832 1 0 0 "rxDataRdy == 1'b1"
+W 348 338 0 351 346 BEZIER "Transitions" | 109408,161002 116386,149688 110826,148678 118545,137753
+L 347 346 0 TEXT "State Labels" | 122076,132298 1 0 0 "CHK_LOOP\n/26/"
+S 346 338 135168 ELLIPSE "States" | 122076,132298 6500 6500
+H 338 337 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+S 337 6 131076 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 155556,94377 6500 6500
+L 336 337 0 TEXT "State Labels" | 155556,94377 1 0 0 "RD_TOKEN"
+I 74 0 2 Builtin InPort | 195700,267632 "" ""
+L 73 74 0 TEXT "Labels" | 201700,267632 1 0 0 "rst"
+I 72 0 3 Builtin InPort | 195700,272800 "" ""
+L 71 72 0 TEXT "Labels" | 201700,272800 1 0 0 "clk"
+L 367 359 0 TEXT "State Labels" | 106340,218687 1 0 0 "SEND_CMD\n/28/"
+L 366 365 0 TEXT "State Labels" | 53406,179998 1 0 0 "DEL2\n/29/"
+S 365 338 147456 ELLIPSE "States" | 53406,179998 6500 6500
+W 364 338 3 346 361 BEZIER "Transitions" | 123583,125978 114391,113159 121643,95942 132878,90341
+I 361 338 0 Builtin Exit | 135456,90208
+A 360 359 4 TEXT "Actions" | 121852,234949 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;\nrxDataRdyClr <= 1'b1;"
+S 359 338 143360 ELLIPSE "States" | 106340,218687 6500 6500
+L 352 351 0 TEXT "State Labels" | 106026,166550 1 0 0 "WT_FIN\n/27/"
+L 81 82 0 TEXT "State Labels" | 113220,195514 1 0 0 "ST_RW_SD\n/0/"
+S 82 6 0 ELLIPSE "States" | 113220,195514 6500 6500
+L 83 84 0 TEXT "State Labels" | 115395,109896 1 0 0 "WR_CMD"
+S 84 6 4100 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 115395,109896 6500 6500
+H 85 84 0 RECT 0,0,0 0 0 1 255,255,255 0 | 27860,28670 212360,276670
+S 92 85 8192 ELLIPSE "States" | 113016,225828 6500 6500
+L 93 92 0 TEXT "State Labels" | 113016,225828 1 0 0 "SEND_CMD\n/1/"
+I 94 85 0 Builtin Entry | 70040,246500
+W 383 338 2 346 385 BEZIER "Transitions" | 128455,133541 138850,135296 180442,141646 191036,142273
+W 382 338 0 380 351 BEZIER "Transitions" | 105524,186872 105457,182130 105515,177755 105448,173013
+W 381 338 0 359 380 BEZIER "Transitions" | 105523,212247 105320,208453 105367,203608 105164,199814
+S 380 338 155648 ELLIPSE "States" | 105765,193344 6500 6500
+L 379 380 0 TEXT "State Labels" | 105765,193344 1 0 0 "DEL1\n/31/"
+C 377 374 0 TEXT "Conditions" | 32353,125947 1 0 0 "locRespByte != 8'hfe && timeOutCnt != `ONE_HUNDRED_MS"
+C 376 375 0 TEXT "Conditions" | 37395,199964 1 0 0 "delCnt1 == `MAX_8_BIT"
+W 375 338 1 365 359 BEZIER "Transitions" | 55933,185983 60073,191941 68179,216209 76636,218125\
+ 85094,220041 90522,226339 93570,226372 96618,226406\
+ 99403,224529 101638,223174
+W 374 338 1 346 365 BEZIER "Transitions" | 116959,136304 87922,130964 55868,158884 57051,176025
+A 373 365 4 TEXT "Actions" | 64312,187877 1 0 0 "delCnt1 <= delCnt1 + 1'b1;\ndelCnt2 <= 8'h00;"
+L 372 369 0 TEXT "State Labels" | 101285,243200 1 0 0 "INIT_LOOP\n/30/"
+W 371 338 0 369 359 BEZIER "Transitions" | 101997,236742 102942,232760 103652,228930 104597,224948
+A 370 369 4 TEXT "Actions" | 114245,248870 1 0 0 "timeOutCnt <= 12'h000;"
+S 369 338 151552 ELLIPSE "States" | 101285,243200 6500 6500
+I 368 338 0 Builtin Entry | 63364,239359
+W 98 85 0 103 118 BEZIER "Transitions" | 116097,168149 122999,156907 117254,149606 126108,141591
+C 99 98 0 TEXT "Conditions" | 118453,167900 1 0 0 "sendCmdRdy == 1'b1"
+W 100 85 0 94 92 BEZIER "Transitions" | 73698,246500 82776,241893 98521,234027 107599,229420
+W 101 85 0 105 103 BEZIER "Transitions" | 112750,192148 112615,188422 112530,183906 112395,180180
+W 102 85 0 92 105 BEZIER "Transitions" | 112802,219339 112802,215071 112860,209370 112860,205102
+S 103 85 12288 ELLIPSE "States" | 112702,173691 6500 6500
+L 104 103 0 TEXT "State Labels" | 112702,173691 1 0 0 "WT_FIN\n/2/"
+S 105 85 16384 ELLIPSE "States" | 113244,198623 6500 6500
+L 106 105 0 TEXT "State Labels" | 113244,198623 1 0 0 "DEL\n/3/"
+A 107 92 4 TEXT "Actions" | 128528,242090 1 0 0 "cmdByte <= 8'h58; //CMD24 Block Write\ndataByte1 <= blockAddr[31:24];\ndataByte2 <= blockAddr[23:16];\ndataByte3 <= blockAddr[15:8];\ndataByte4 <= blockAddr[7:0];\ncheckSumByte <= 8'hff;\nsendCmdReq <= 1'b1;"
+I 108 85 0 Builtin Exit | 143492,98981
+I 109 85 0 Builtin Link | 155880,111943
+L 110 109 0 TEXT "Labels" | 161880,111943 1 0 0 "WT_REQ"
+W 111 85 2 118 109 BEZIER "Transitions" | 130637,137393 136286,130668 150231,116668 155880,109943
+A 387 383 16 TEXT "Actions" | 144060,138060 1 0 0 "readError <= `READ_TOKEN_ERROR;"
+C 386 383 0 TEXT "Conditions" | 128229,146101 1 0 0 "timeOutCnt == `ONE_HUNDRED_MS"
+I 385 338 0 Builtin Link | 190990,144650
+L 384 385 0 TEXT "Labels" | 196990,144650 1 0 0 "WT_REQ"
+C 112 111 0 TEXT "Conditions" | 136613,139155 1 0 0 "respTout == 1'b1 || respByte != 8'h00"
+A 113 111 16 TEXT "Actions" | 138698,128165 1 0 0 "writeError <= `WRITE_CMD_ERROR;"
+W 116 85 3 118 108 BEZIER "Transitions" | 129307,136589 132634,127911 137330,107659 140657,98981
+L 117 118 0 TEXT "State Labels" | 128402,139117 1 0 0 "J1"
+S 118 85 20484 ELLIPSE 0,0,0 0 0 1 255,0,0 1 | 128334,139455 3156 3017
+H 119 118 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+I 122 119 0 Builtin Entry | 96520,182880
+I 123 119 0 Builtin Exit | 110928,166692
+W 126 119 0 122 123 BEZIER "Transitions" | 100205,182880 103344,179877 105196,169695 108335,166692
+L 127 128 0 TEXT "State Labels" | 114166,175079 1 0 0 "WT_REQ\n/4/"
+L 388 389 0 TEXT "State Labels" | 155343,73929 1 0 0 "RD_DATA"
+S 389 6 159748 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 155343,73929 6500 6500
+H 390 389 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+S 128 6 24576 ELLIPSE "States" | 114166,175079 6500 6500
+L 129 130 0 TEXT "State Labels" | 116508,88574 1 0 0 "WR_TOKEN"
+S 130 6 28676 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116508,88574 6500 6500
+H 131 130 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+W 138 131 0 144 141 BEZIER "Transitions" | 93143,232118 93346,228934 95399,216673 95425,213277
+A 139 138 16 TEXT "Actions" | 83596,226272 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;"
+C 140 138 0 TEXT "Conditions" | 95421,232225 1 0 0 "txDataFull == 1'b0"
+S 141 131 32768 ELLIPSE "States" | 95726,206806 6500 6500
+L 142 141 0 TEXT "State Labels" | 95726,206806 1 0 0 "FF1_FIN\n/5/"
+A 143 141 4 TEXT "Actions" | 105171,209122 1 0 0 "txDataWen <= 1'b0;"
+L 400 401 0 TEXT "State Labels" | 77852,226948 1 0 0 "ST_LOOP\n/32/"
+S 401 390 163840 ELLIPSE "States" | 77852,226948 6500 6500
+A 402 401 4 TEXT "Actions" | 95196,229658 1 0 0 "txDataWen <= 1'b1;\ntxDataOut <= 8'hff;\nloopCnt <= loopCnt + 1'b1;"
+L 403 404 0 TEXT "State Labels" | 78936,199306 1 0 0 "WT_DATA\n/33/"
+S 404 390 167936 ELLIPSE "States" | 78936,199306 6500 6500
+W 406 390 0 401 404 BEZIER "Transitions" | 77695,220483 77762,216960 78169,209653 78270,205764
+A 408 404 4 TEXT "Actions" | 97364,200119 1 0 0 "txDataWen <= 1'b0;"
+L 409 410 0 TEXT "State Labels" | 81375,162450 1 0 0 "CHK_LOOP\n/34/"
+S 410 390 172032 ELLIPSE "States" | 81375,162450 6500 6500
+W 411 390 0 404 410 BEZIER "Transitions" | 79226,192822 79632,188351 80587,173613 80938,168928
+C 412 411 0 TEXT "Conditions" | 81955,194246 1 0 0 "rxDataRdy == 1'b1"
+A 413 411 16 TEXT "Actions" | 74786,189659 1 0 0 "rxFifoWen <= 1'b1;\nrxDataRdyClr <= 1'b1;\nrxFifoData <= rxDataIn;"
+L 414 415 0 TEXT "State Labels" | 83004,136975 1 0 0 "J1"
+S 415 390 176132 ELLIPSE 0,0,0 0 0 1 255,0,0 1 | 83043,136396 3569 3569
+S 144 131 36864 ELLIPSE "States" | 92762,238598 6500 6500
+L 145 144 0 TEXT "State Labels" | 92762,238598 1 0 0 "FF1_ST\n/6/"
+I 146 131 0 Builtin Entry | 51952,266176
+S 147 131 40960 ELLIPSE "States" | 101439,148824 6500 6500
+A 148 147 4 TEXT "Actions" | 110884,151140 1 0 0 "txDataWen <= 1'b0;"
+L 149 147 0 TEXT "State Labels" | 101439,148824 1 0 0 "FF2_FIN\n/7/"
+W 150 131 0 153 147 BEZIER "Transitions" | 98856,174136 99059,170952 101112,158691 101138,155295
+C 151 150 0 TEXT "Conditions" | 101134,174243 1 0 0 "txDataFull == 1'b0"
+A 152 150 16 TEXT "Actions" | 89309,168290 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;"
+S 153 131 45056 ELLIPSE "States" | 98475,180616 6500 6500
+L 154 153 0 TEXT "State Labels" | 98475,180616 1 0 0 "FF2_ST\n/8/"
+S 155 131 49152 ELLIPSE "States" | 107423,92248 6500 6500
+A 156 155 4 TEXT "Actions" | 116868,94564 1 0 0 "txDataWen <= 1'b0;"
+L 157 155 0 TEXT "State Labels" | 107423,92248 1 0 0 "FE_FIN\n/9/"
+W 158 131 0 161 155 BEZIER "Transitions" | 104840,117560 105043,114376 107096,102115 107122,98719
+C 159 158 0 TEXT "Conditions" | 107118,117667 1 0 0 "txDataFull == 1'b0"
+H 416 415 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+I 419 416 0 Builtin Entry | 126004,141930
+I 420 416 0 Builtin Exit | 144780,121920
+W 423 416 0 419 420 BEZIER "Transitions" | 129826,141930 134057,136743 137819,127107 142050,121920
+W 424 390 0 410 415 BEZIER "Transitions" | 81407,156099 81677,152387 82370,143719 82390,139900
+A 425 424 16 TEXT "Actions" | 80070,154260 1 0 0 "rxDataRdyClr <= 1'b0;\nrxFifoWen <= 1'b0;"
+I 426 390 0 Builtin Entry | 42810,270090
+L 427 428 0 TEXT "State Labels" | 97350,266040 1 0 0 "CLR_RX\n/35/"
+S 428 390 180224 ELLIPSE "States" | 97350,266040 6500 6500
+W 429 390 0 426 428 BEZIER "Transitions" | 46995,270090 55230,269685 82688,267193 90992,267387
+A 430 429 16 TEXT "Actions" | 55533,269709 1 0 0 "rxDataRdyClr <= 1'b1;"
+W 431 390 0 428 401 BEZIER "Transitions" | 94484,260209 90569,252717 84564,240304 80649,232812
+A 160 158 16 TEXT "Actions" | 95293,111714 1 0 0 "txDataOut <= 8'hfe;\ntxDataWen <= 1'b1;"
+S 161 131 53248 ELLIPSE "States" | 104459,124040 6500 6500
+L 162 161 0 TEXT "State Labels" | 104459,124040 1 0 0 "FE_ST\n/10/"
+W 163 131 0 146 144 BEZIER "Transitions" | 55624,266176 64736,260940 79060,248435 88172,243199
+W 164 131 0 141 153 BEZIER "Transitions" | 95785,200373 96397,196089 96746,191299 97358,187015
+I 165 131 0 Builtin Exit | 140624,67616
+W 166 131 0 147 161 BEZIER "Transitions" | 101803,142336 102279,138596 102801,134171 103277,130431
+W 167 131 0 155 165 BEZIER "Transitions" | 112534,88234 120218,83134 130356,72716 138040,67616
+L 168 169 0 TEXT "State Labels" | 116501,66078 1 0 0 "WR_DATA"
+S 169 6 229380 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116501,66078 6500 6500
+H 170 169 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+A 432 431 16 TEXT "Actions" | 85200,253080 1 0 0 "rxDataRdyClr <= 1'b0;\nloopCnt <= 9'b000000000;"
+W 433 390 2 415 401 BEZIER "Transitions" | 79771,137821 72144,141736 57457,148725 53070,158681\
+ 48683,168637 46387,200633 47433,211027 48480,221422\
+ 54960,231008 58470,232695 61980,234383 68385,231511\
+ 72165,230094
+W 440 390 0 451 441 BEZIER "Transitions" | 138130,91528 138333,88344 140386,76083 140412,72687
+S 441 390 184320 ELLIPSE "States" | 140713,66216 6500 6500
+L 442 441 0 TEXT "State Labels" | 140713,66216 1 0 0 "CS_FIN2\n/36/"
+S 443 390 188416 ELLIPSE "States" | 75739,66216 6500 6500
+A 444 443 4 TEXT "Actions" | 85184,68532 1 0 0 "txDataWen <= 1'b0;"
+L 445 443 0 TEXT "State Labels" | 75739,66216 1 0 0 "CS_FIN1\n/37/"
+W 446 390 0 449 443 BEZIER "Transitions" | 73156,91528 73359,88344 75412,76083 75438,72687
+C 447 446 0 TEXT "Conditions" | 75434,91635 1 0 0 "txDataFull == 1'b0"
+S 177 170 61440 ELLIPSE "States" | 78305,137464 6500 6500
+A 178 177 4 TEXT "Actions" | 87750,139780 1 0 0 "txDataWen <= 1'b0;"
+L 179 177 0 TEXT "State Labels" | 78305,137464 1 0 0 "D_FIN\n/11/"
+W 180 170 0 183 177 BEZIER "Transitions" | 75722,162776 75925,159592 77978,147331 78004,143935
+C 181 180 0 TEXT "Conditions" | 78000,162883 1 0 0 "txDataFull == 1'b0"
+A 182 180 16 TEXT "Actions" | 66175,156930 1 0 0 "txDataOut <= txFifoData;\ntxDataWen <= 1'b1;"
+S 183 170 65536 ELLIPSE "States" | 75341,169256 6500 6500
+L 184 183 0 TEXT "State Labels" | 75341,169256 1 0 0 "D_ST\n/12/"
+L 187 188 0 TEXT "State Labels" | 72867,227889 1 0 0 "RD_FIFO1\n/13/"
+S 188 170 69632 ELLIPSE "States" | 72867,227889 6500 6500
+L 189 190 0 TEXT "State Labels" | 73959,201135 1 0 0 "RD_FIFO2\n/14/"
+S 190 170 73728 ELLIPSE "States" | 73959,201135 6500 6500
+A 191 188 4 TEXT "Actions" | 80895,230061 1 0 0 "txFifoRen <= 1'b1;\nloopCnt <= loopCnt + 1'b1;"
+A 448 446 16 TEXT "Actions" | 63609,85682 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;"
+S 449 390 192512 ELLIPSE "States" | 72775,98008 6500 6500
+L 450 449 0 TEXT "State Labels" | 72775,98008 1 0 0 "CS_ST1\n/38/"
+S 451 390 196608 ELLIPSE "States" | 137749,98008 6500 6500
+L 452 451 0 TEXT "State Labels" | 137749,98008 1 0 0 "CS_ST2\n/39/"
+A 453 440 16 TEXT "Actions" | 128583,85682 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;"
+C 454 440 0 TEXT "Conditions" | 140408,91635 1 0 0 "txDataFull == 1'b0"
+A 455 441 4 TEXT "Actions" | 150158,68532 1 0 0 "txDataWen <= 1'b0;"
+W 456 390 1 415 449 BEZIER "Transitions" | 82185,132936 79630,124866 76715,112427 74160,104357
+W 457 390 0 443 451 BEZIER "Transitions" | 78514,60339 82952,55834 89399,47074 95182,45998\
+ 100965,44922 115223,49631 117845,56120 120468,62609\
+ 116702,83861 117071,91594 117441,99328 122688,109012\
+ 125276,109920 127865,110828 131449,106344 134004,103318
+I 458 390 0 Builtin Exit | 161625,43107
+W 459 390 0 441 458 BEZIER "Transitions" | 144285,60786 148925,56213 153892,47680 158532,43107
+W 460 6 0 302 337 BEZIER "Transitions" | 155788,108419 155653,104081 155771,105011 155438,100848
+W 461 6 0 337 389 BEZIER "Transitions" | 155952,87899 155619,83040 155938,84926 155805,80404
+W 462 6 0 389 128 BEZIER "Transitions" | 160766,72622 181673,64668 193592,81881 194705,86831\
+ 195819,91782 193010,106602 196539,114884 200068,123167\
+ 183067,150177 177992,160070 172917,169964 164106,188182\
+ 156924,189345 149743,190509 134447,187583 129526,185887\
+ 124606,184192 121267,181269 119073,179341
+W 463 6 0 224 128 BEZIER "Transitions" | 112148,42964 96171,38095 88076,46646 81324,48345\
+ 74572,50044 62626,66620 61422,77634 60219,88648\
+ 53118,140235 53550,154499 53982,168763 75928,188049\
+ 79879,189622 83830,191195 94548,186386 97872,185422\
+ 101197,184458 106213,181091 109338,179429
+A 192 190 4 TEXT "Actions" | 82521,204408 1 0 0 "txFifoRen <= 1'b0;"
+L 193 194 0 TEXT "State Labels" | 72048,257646 1 0 0 "LOOP_INIT\n/15/"
+S 194 170 77824 ELLIPSE "States" | 72048,257646 6500 6500
+A 195 194 4 TEXT "Actions" | 89247,259284 1 0 0 "loopCnt <= 9'b000000000;"
+S 196 170 81920 ELLIPSE "States" | 80801,98549 6500 6500
+L 197 196 0 TEXT "State Labels" | 80801,98549 1 0 0 "CS_ST1\n/16/"
+W 198 170 0 196 201 BEZIER "Transitions" | 81182,92069 81385,88885 83438,76624 83464,73228
+A 199 198 16 TEXT "Actions" | 71635,86223 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;"
+C 200 198 0 TEXT "Conditions" | 83460,92176 1 0 0 "txDataFull == 1'b0"
+S 201 170 86016 ELLIPSE "States" | 83765,66757 6500 6500
+L 202 201 0 TEXT "State Labels" | 83765,66757 1 0 0 "CS_FIN1\n/17/"
+A 203 201 4 TEXT "Actions" | 93210,69073 1 0 0 "txDataWen <= 1'b0;"
+S 204 170 90112 ELLIPSE "States" | 148739,66757 6500 6500
+A 205 204 4 TEXT "Actions" | 158184,69073 1 0 0 "txDataWen <= 1'b0;\ntimeOutCnt <= 12'h000;"
+L 206 204 0 TEXT "State Labels" | 148739,66757 1 0 0 "CS_FIN2\n/18/"
+W 207 170 0 210 204 BEZIER "Transitions" | 146156,92069 146359,88885 148412,76624 148438,73228
+C 464 334 0 TEXT "Conditions" | 94994,146397 1 0 0 "readWriteSDBlockReq == `READ_SD_BLOCK"
+C 465 298 0 TEXT "Conditions" | 55739,152492 1 0 0 "readWriteSDBlockReq == `WRITE_SD_BLOCK"
+I 475 6 0 Builtin Reset | 49660,206134
+W 476 6 0 475 82 BEZIER "Transitions" | 49660,206134 64556,204256 92040,199052 106936,197174
+C 477 476 0 TEXT "Conditions" | 62428,209528 1 0 0 "rst == 1'b1"
+L 478 479 0 TEXT "Labels" | 122230,269291 1 0 0 "readWriteSDBlockReq[1:0]"
+I 479 0 130 Builtin InPort | 116230,269291 "" ""
+L 223 224 0 TEXT "State Labels" | 116398,45340 1 0 0 "WR_BUSY"
+C 208 207 0 TEXT "Conditions" | 148434,92176 1 0 0 "txDataFull == 1'b0"
+A 209 207 16 TEXT "Actions" | 136609,86223 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;"
+S 210 170 94208 ELLIPSE "States" | 145775,98549 6500 6500
+L 211 210 0 TEXT "State Labels" | 145775,98549 1 0 0 "CS_ST2\n/19/"
+W 212 170 1 177 196 BEZIER "Transitions" | 78835,130988 79312,123617 80043,112406 80520,105035
+C 213 212 0 TEXT "Conditions" | 81603,129336 1 0 0 "loopCnt == 9'b000000000"
+W 214 170 0 194 188 BEZIER "Transitions" | 72189,251169 72121,246119 72460,239413 72392,234363
+W 215 170 0 188 190 BEZIER "Transitions" | 72773,221428 73182,217197 73251,211851 73660,207620
+W 216 170 0 190 183 BEZIER "Transitions" | 74343,194648 74411,189188 74887,181191 74955,175731
+W 217 170 2 177 188 BEZIER "Transitions" | 71806,137461 65254,138211 53484,138960 49969,147866\
+ 46455,156772 45498,190898 45396,201715 45294,212532\
+ 45840,221679 48843,224169 51846,226660 60640,227203\
+ 66373,227612
+W 218 170 0 201 210 BEZIER "Transitions" | 87098,61178 91397,57971 97506,51735 102658,50541\
+ 107811,49347 119823,50985 122894,57434 125965,63884\
+ 126239,88045 127637,96576 129036,105107 134361,115072\
+ 136749,115515 139137,115959 142020,108931 144135,104836
+I 221 170 0 Builtin Entry | 39834,267201
+W 222 170 0 221 194 BEZIER "Transitions" | 43519,267201 49798,265427 59580,261403 65859,259629
+L 480 481 0 TEXT "Labels" | 120083,264098 1 0 0 "readWriteSDBlockRdy"
+I 481 0 2 Builtin OutPort | 114083,264098 "" ""
+L 482 483 0 TEXT "Labels" | 120083,259063 1 0 0 "spiCS_n"
+I 483 0 2 Builtin OutPort | 114083,259063 "" ""
+I 484 0 130 Builtin OutPort | 69013,272215 "" ""
+L 485 484 0 TEXT "Labels" | 75013,272215 1 0 0 "cmdByte[7:0]"
+I 486 0 130 Builtin OutPort | 68768,267735 "" ""
+L 487 486 0 TEXT "Labels" | 74768,267735 1 0 0 "dataByte1[7:0]"
+I 488 0 130 Builtin OutPort | 68965,262525 "" ""
+L 489 488 0 TEXT "Labels" | 74965,262525 1 0 0 "dataByte2[7:0]"
+I 490 0 130 Builtin OutPort | 69212,257832 "" ""
+L 491 490 0 TEXT "Labels" | 75212,257832 1 0 0 "dataByte3[7:0]"
+I 492 0 130 Builtin OutPort | 69212,253139 "" ""
+L 493 492 0 TEXT "Labels" | 75212,253139 1 0 0 "dataByte4[7:0]"
+I 494 0 130 Builtin OutPort | 69088,248199 "" ""
+L 495 494 0 TEXT "Labels" | 75088,248199 1 0 0 "checkSumByte[7:0]"
+W 239 225 1 237 241 BEZIER "Transitions" | 110570,148995 94430,151147 64068,154038 55628,162847\
+ 47189,171657 45708,202593 49575,212814 53442,223036\
+ 70390,232990 77013,235243 83637,237496 90160,236699\
+ 94934,236229
+L 238 237 0 TEXT "State Labels" | 117061,148658 1 0 0 "CHK_FIN\n/40/"
+S 237 225 200704 ELLIPSE "States" | 117061,148658 6500 6500
+C 236 235 0 TEXT "Conditions" | 106765,177192 1 0 0 "rxDataRdy == 1'b1"
+W 235 225 0 232 237 BEZIER "Transitions" | 104393,177362 111371,166048 105811,165038 113530,154113
+W 234 225 0 240 268 BEZIER "Transitions" | 62534,255719 71612,251112 81165,266598 90243,261991
+L 233 232 0 TEXT "State Labels" | 101011,182910 1 0 0 "WT_FIN1\n/41/"
+S 232 225 204800 ELLIPSE "States" | 101011,182910 6500 6500
+H 225 224 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+S 224 6 57348 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 116398,45340 6500 6500
+I 496 0 2 Builtin OutPort | 69306,243719 "" ""
+L 497 496 0 TEXT "Labels" | 75306,243719 1 0 0 "sendCmdReq"
+I 498 0 2 Builtin InPort | 71520,238553 "" ""
+L 499 498 0 TEXT "Labels" | 77520,238553 1 0 0 "sendCmdRdy"
+I 500 0 130 Builtin InPort | 71328,234058 "" ""
+L 501 500 0 TEXT "Labels" | 77328,234058 1 0 0 "respByte[7:0]"
+I 502 0 2 Builtin InPort | 150168,237862 "" ""
+L 503 502 0 TEXT "Labels" | 156168,237862 1 0 0 "rxDataRdy"
+I 504 0 2 Builtin InPort | 117135,232948 "" ""
+L 505 504 0 TEXT "Labels" | 123135,232948 1 0 0 "txDataFull"
+I 506 0 2 Builtin OutPort | 114678,237589 "" ""
+L 507 506 0 TEXT "Labels" | 120678,237589 1 0 0 "txDataWen"
+I 508 0 130 Builtin InPort | 149895,241957 "" ""
+L 509 508 0 TEXT "Labels" | 155895,241957 1 0 0 "rxDataIn[7:0]"
+I 510 0 130 Builtin OutPort | 114678,242230 "" ""
+L 511 510 0 TEXT "Labels" | 120678,242230 1 0 0 "txDataOut[7:0]"
+L 527 528 0 TEXT "Labels" | 190950,242120 1 0 0 "rxFifoData[7:0]"
+I 526 0 2 Builtin OutPort | 185220,246980 "" ""
+L 525 526 0 TEXT "Labels" | 191220,246980 1 0 0 "rxFifoWen"
+I 524 0 130 Builtin InPort | 187380,252110 "" ""
+L 523 524 0 TEXT "Labels" | 193380,252110 1 0 0 "txFifoData[7:0]"
+I 522 0 2 Builtin OutPort | 184950,256970 "" ""
+L 521 522 0 TEXT "Labels" | 190950,256970 1 0 0 "txFifoRen"
+W 248 225 2 237 251 BEZIER "Transitions" | 121126,143587 165099,138575 140782,115097 127863,106694
+A 249 248 16 TEXT "Actions" | 144590,131826 1 0 0 "writeError <= `WRITE_BUSY_ERROR;"
+C 250 248 0 TEXT "Conditions" | 128858,147492 1 0 0 "timeOutCnt == `TWO_FIFTY_MS"
+C 255 254 0 TEXT "Conditions" | 114470,200483 1 0 0 "delCnt1 == `MAX_8_BIT"
+W 254 225 1 243 232 BEZIER "Transitions" | 151179,193271 142375,193953 126550,195706 120373,194853\
+ 114197,194000 109072,189975 105523,187587
+W 253 225 0 241 243 BEZIER "Transitions" | 101358,228597 101904,225390 102458,220616 103686,217545\
+ 104915,214475 108737,208604 114128,207171 119520,205738\
+ 137266,205875 142862,205499 148458,205124 153100,203486\
+ 154430,202496 155761,201507 156185,199858 156526,198698
+A 252 241 4 TEXT "Actions" | 116837,251309 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;\nrxDataRdyClr <= 1'b1;\ndelCnt1 <= 8'h00;"
+I 251 225 0 Builtin Exit | 130441,106568
+C 247 239 0 TEXT "Conditions" | 32326,147044 1 0 0 "locRespByte == 8'h00 && timeOutCnt != `TWO_FIFTY_MS"
+W 245 225 3 237 251 BEZIER "Transitions" | 118568,142338 109376,129519 116628,112302 127863,106701
+L 244 243 0 TEXT "State Labels" | 157604,192293 1 0 0 "DEL1\n/42/"
+S 243 225 208896 ELLIPSE "States" | 157604,192293 6500 6500
+L 242 241 0 TEXT "State Labels" | 101325,235047 1 0 0 "SEND_CMD1\n/43/"
+S 241 225 212992 ELLIPSE "States" | 101325,235047 6500 6500
+I 240 225 0 Builtin Entry | 58349,255719
+I 512 0 2 Builtin OutPort | 147984,233494 "" ""
+L 513 512 0 TEXT "Labels" | 153984,233494 1 0 0 "rxDataRdyClr"
+L 514 515 0 TEXT "Labels" | 77500,229855 1 0 0 "respTout"
+I 515 0 2 Builtin InPort | 71500,229855 "" ""
+A 516 82 4 TEXT "Actions" | 160072,222273 1 0 0 "readWriteSDBlockRdy <= 1'b0;\nspiCS_n <= 1'b1;\nreadError <= 1'b0;\nwriteError <= 1'b0;\ntxDataOut <= 8'h00;\ntxDataWen <= 1'b0;\nrxDataRdyClr <= 1'b0;\ncmdByte <= 8'h00;\ndataByte1 <= 8'h00;\ndataByte2 <= 8'h00;\ndataByte3 <= 8'h00;\ndataByte4 <= 8'h00;\ncheckSumByte <= 8'h00;\nsendCmdReq <= 1'b0;\nloopCnt <= 8'h00;\ndelCnt1 <= 8'h00;\ndelCnt2 <= 8'h00;\nreadError <= `READ_NO_ERROR;\nwriteError <= `WRITE_NO_ERROR;\ntxFifoRen <= 1'b0;\nrxFifoWen <= 1'b0;\nrxFifoData <= 8'h00;\ntimeOutCnt <= 12'h000;\nlocRespByte <= 8'h00;"
+L 517 518 0 TEXT "Labels" | 120142,254523 1 0 0 "readError[1:0]"
+I 518 0 130 Builtin OutPort | 114142,254523 "" ""
+L 519 520 0 TEXT "Labels" | 119971,249897 1 0 0 "writeError[1:0]"
+I 520 0 130 Builtin OutPort | 113971,249897 "" ""
+L 543 544 0 TEXT "Labels" | 164114,261928 1 0 0 "delCnt1[7:0]"
+C 542 541 0 TEXT "Conditions" | 31702,154694 1 0 0 "delCnt2 == 8'hff"
+W 541 338 0 537 365 BEZIER "Transitions" | 45749,147689 44744,152468 35773,163628 34769,167402\
+ 33765,171176 35012,179071 36950,181044 38889,183018\
+ 44071,182291 47325,182291
+W 539 338 2 365 537 BEZIER "Transitions" | 51640,173745 51294,168275 56598,148666 56246,142125
+A 538 537 4 TEXT "Actions" | 36466,135651 1 0 0 "delCnt2 <= delCnt2 + 1'b1;"
+S 537 338 225280 ELLIPSE "States" | 49762,142576 6500 6500
+L 536 537 0 TEXT "State Labels" | 49762,142576 1 0 0 "DEL3\n/46/"
+C 535 534 0 TEXT "Conditions" | 154260,170964 1 0 0 "delCnt2 == 8'hff"
+W 534 225 0 530 243 BEZIER "Transitions" | 179888,172413 174851,172206 165921,171447 162609,173482\
+ 159297,175518 158039,181622 156452,185900
+A 533 530 4 TEXT "Actions" | 166680,162960 1 0 0 "delCnt2 <= delCnt2 + 1'b1;"
+W 531 225 2 243 530 BEZIER "Transitions" | 163308,189179 168294,185855 176215,180648 181201,177324
+S 530 225 217088 ELLIPSE "States" | 186323,173323 6500 6500
+L 529 530 0 TEXT "State Labels" | 186323,173323 1 0 0 "DEL2\n/44/"
+I 528 0 130 Builtin OutPort | 184950,242120 "" ""
+A 270 268 4 TEXT "Actions" | 109230,265230 1 0 0 "timeOutCnt <= 12'h000;"
+W 269 225 0 268 241 BEZIER "Transitions" | 96982,253102 97927,249120 98637,245290 99582,241308
+S 268 225 221184 ELLIPSE "States" | 96270,259560 6500 6500
+L 267 268 0 TEXT "State Labels" | 96270,259560 1 0 0 "INIT_LOOP\n/45/"
+I 264 0 130 Builtin Signal | 161063,266638 "" ""
+L 263 264 0 TEXT "Labels" | 164063,266638 1 0 0 "loopCnt[8:0]"
+A 259 243 4 TEXT "Actions" | 166374,212237 1 0 0 "txDataWen <= 1'b0;\nrxDataRdyClr <= 1'b0;\ndelCnt1 <= delCnt1 + 1'b1;\ndelCnt2 <= 8'h00;"
+END
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.v b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.v
new file mode 100644
index 000000000..92ae0aa35
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSDBlock.v
@@ -0,0 +1,730 @@
+
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// readWriteSDBlock.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+//// parameterized dual clock domain fifo.
+//// fifo depth is restricted to 2^ADDR_WIDTH
+//// No protection against over runs and under runs.
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+`include "spiMaster_defines.v"
+
+module readWriteSDBlock (blockAddr, checkSumByte, clk, cmdByte, dataByte1, dataByte2, dataByte3, dataByte4, readError, readWriteSDBlockRdy, readWriteSDBlockReq, respByte, respTout, rst, rxDataIn, rxDataRdy, rxDataRdyClr, rxFifoData, rxFifoWen, sendCmdRdy, sendCmdReq, spiCS_n, txDataEmpty, txDataFull, txDataOut, txDataWen, txFifoData, txFifoRen, writeError);
+input [31:0]blockAddr;
+input clk;
+input [1:0]readWriteSDBlockReq;
+input [7:0]respByte;
+input respTout;
+input rst;
+input [7:0]rxDataIn;
+input rxDataRdy;
+input sendCmdRdy;
+input txDataEmpty;
+input txDataFull;
+input [7:0]txFifoData;
+output [7:0]checkSumByte;
+output [7:0]cmdByte;
+output [7:0]dataByte1;
+output [7:0]dataByte2;
+output [7:0]dataByte3;
+output [7:0]dataByte4;
+output [1:0]readError;
+output readWriteSDBlockRdy;
+output rxDataRdyClr;
+output [7:0]rxFifoData;
+output rxFifoWen;
+output sendCmdReq;
+output spiCS_n;
+output [7:0]txDataOut;
+output txDataWen;
+output txFifoRen;
+output [1:0]writeError;
+
+wire [31:0]blockAddr;
+reg [7:0]checkSumByte, next_checkSumByte;
+wire clk;
+reg [7:0]cmdByte, next_cmdByte;
+reg [7:0]dataByte1, next_dataByte1;
+reg [7:0]dataByte2, next_dataByte2;
+reg [7:0]dataByte3, next_dataByte3;
+reg [7:0]dataByte4, next_dataByte4;
+reg [1:0]readError, next_readError;
+reg readWriteSDBlockRdy, next_readWriteSDBlockRdy;
+wire [1:0]readWriteSDBlockReq;
+wire [7:0]respByte;
+wire respTout;
+wire rst;
+wire [7:0]rxDataIn;
+wire rxDataRdy;
+reg rxDataRdyClr, next_rxDataRdyClr;
+reg [7:0]rxFifoData, next_rxFifoData;
+reg rxFifoWen, next_rxFifoWen;
+wire sendCmdRdy;
+reg sendCmdReq, next_sendCmdReq;
+reg spiCS_n, next_spiCS_n;
+wire txDataEmpty;
+wire txDataFull;
+reg [7:0]txDataOut, next_txDataOut;
+reg txDataWen, next_txDataWen;
+wire [7:0]txFifoData;
+reg txFifoRen, next_txFifoRen;
+reg [1:0]writeError, next_writeError;
+
+// diagram signals declarations
+reg [7:0]delCnt1, next_delCnt1;
+reg [7:0]delCnt2, next_delCnt2;
+reg [7:0]locRespByte, next_locRespByte;
+reg [8:0]loopCnt, next_loopCnt;
+reg [11:0]timeOutCnt, next_timeOutCnt;
+
+// BINARY ENCODED state machine: rwBlkSt
+// State codes definitions:
+`define ST_RW_SD 6'b000000
+`define WR_CMD_SEND_CMD 6'b000001
+`define WR_CMD_WT_FIN 6'b000010
+`define WR_CMD_DEL 6'b000011
+`define WT_REQ 6'b000100
+`define WR_TOKEN_FF1_FIN 6'b000101
+`define WR_TOKEN_FF1_ST 6'b000110
+`define WR_TOKEN_FF2_FIN 6'b000111
+`define WR_TOKEN_FF2_ST 6'b001000
+`define WR_TOKEN_FE_FIN 6'b001001
+`define WR_TOKEN_FE_ST 6'b001010
+`define WR_DATA_D_FIN 6'b001011
+`define WR_DATA_D_ST 6'b001100
+`define WR_DATA_RD_FIFO1 6'b001101
+`define WR_DATA_RD_FIFO2 6'b001110
+`define WR_DATA_LOOP_INIT 6'b001111
+`define WR_DATA_CS_ST1 6'b010000
+`define WR_DATA_CS_FIN1 6'b010001
+`define WR_DATA_CS_FIN2 6'b010010
+`define WR_DATA_CS_ST2 6'b010011
+`define WR_DATA_CHK_RESP 6'b010100
+`define WR_DATA_REQ_RESP_ST 6'b010101
+`define WR_DATA_REQ_RESP_FIN 6'b010110
+`define RD_CMD_SEND_CMD 6'b010111
+`define RD_CMD_WT_FIN 6'b011000
+`define RD_CMD_DEL 6'b011001
+`define RD_TOKEN_CHK_LOOP 6'b011010
+`define RD_TOKEN_WT_FIN 6'b011011
+`define RD_TOKEN_SEND_CMD 6'b011100
+`define RD_TOKEN_DEL2 6'b011101
+`define RD_TOKEN_INIT_LOOP 6'b011110
+`define RD_TOKEN_DEL1 6'b011111
+`define RD_DATA_ST_LOOP 6'b100000
+`define RD_DATA_WT_DATA 6'b100001
+`define RD_DATA_CHK_LOOP 6'b100010
+`define RD_DATA_CLR_RX 6'b100011
+`define RD_DATA_CS_FIN2 6'b100100
+`define RD_DATA_CS_FIN1 6'b100101
+`define RD_DATA_CS_ST1 6'b100110
+`define RD_DATA_CS_ST2 6'b100111
+`define WR_BUSY_CHK_FIN 6'b101000
+`define WR_BUSY_WT_FIN1 6'b101001
+`define WR_BUSY_DEL1 6'b101010
+`define WR_BUSY_SEND_CMD1 6'b101011
+`define WR_BUSY_DEL2 6'b101100
+`define WR_BUSY_INIT_LOOP 6'b101101
+`define RD_TOKEN_DEL3 6'b101110
+`define WR_DATA_DEL 6'b101111
+
+reg [5:0]CurrState_rwBlkSt, NextState_rwBlkSt;
+
+// Diagram actions (continuous assignments allowed only: assign ...)
+// diagram ACTION
+
+
+// Machine: rwBlkSt
+
+// NextState logic (combinatorial)
+always @ (blockAddr or sendCmdRdy or respTout or respByte or readWriteSDBlockReq or txDataFull or loopCnt or txFifoData or txDataEmpty or timeOutCnt or locRespByte or rxDataRdy or rxDataIn or delCnt1 or delCnt2 or readWriteSDBlockRdy or spiCS_n or readError or writeError or txDataOut or txDataWen or rxDataRdyClr or cmdByte or dataByte1 or dataByte2 or dataByte3 or dataByte4 or checkSumByte or sendCmdReq or txFifoRen or rxFifoWen or rxFifoData or CurrState_rwBlkSt)
+begin
+ NextState_rwBlkSt <= CurrState_rwBlkSt;
+ // Set default values for outputs and signals
+ next_readWriteSDBlockRdy <= readWriteSDBlockRdy;
+ next_spiCS_n <= spiCS_n;
+ next_readError <= readError;
+ next_writeError <= writeError;
+ next_txDataOut <= txDataOut;
+ next_txDataWen <= txDataWen;
+ next_rxDataRdyClr <= rxDataRdyClr;
+ next_cmdByte <= cmdByte;
+ next_dataByte1 <= dataByte1;
+ next_dataByte2 <= dataByte2;
+ next_dataByte3 <= dataByte3;
+ next_dataByte4 <= dataByte4;
+ next_checkSumByte <= checkSumByte;
+ next_sendCmdReq <= sendCmdReq;
+ next_loopCnt <= loopCnt;
+ next_delCnt1 <= delCnt1;
+ next_delCnt2 <= delCnt2;
+ next_txFifoRen <= txFifoRen;
+ next_rxFifoWen <= rxFifoWen;
+ next_rxFifoData <= rxFifoData;
+ next_timeOutCnt <= timeOutCnt;
+ next_locRespByte <= locRespByte;
+ case (CurrState_rwBlkSt) // synopsys parallel_case full_case
+ `ST_RW_SD:
+ begin
+ next_readWriteSDBlockRdy <= 1'b0;
+ next_spiCS_n <= 1'b1;
+ next_readError <= 1'b0;
+ next_writeError <= 1'b0;
+ next_txDataOut <= 8'h00;
+ next_txDataWen <= 1'b0;
+ next_rxDataRdyClr <= 1'b0;
+ next_cmdByte <= 8'h00;
+ next_dataByte1 <= 8'h00;
+ next_dataByte2 <= 8'h00;
+ next_dataByte3 <= 8'h00;
+ next_dataByte4 <= 8'h00;
+ next_checkSumByte <= 8'h00;
+ next_sendCmdReq <= 1'b0;
+ next_loopCnt <= 8'h00;
+ next_delCnt1 <= 8'h00;
+ next_delCnt2 <= 8'h00;
+ next_readError <= `READ_NO_ERROR;
+ next_writeError <= `WRITE_NO_ERROR;
+ next_txFifoRen <= 1'b0;
+ next_rxFifoWen <= 1'b0;
+ next_rxFifoData <= 8'h00;
+ next_timeOutCnt <= 12'h000;
+ next_locRespByte <= 8'h00;
+ NextState_rwBlkSt <= `WT_REQ;
+ end
+ `WT_REQ:
+ begin
+ next_spiCS_n <= 1'b1;
+ next_readWriteSDBlockRdy <= 1'b1;
+ next_cmdByte <= 8'h00;
+ next_dataByte1 <= 8'h00;
+ next_dataByte2 <= 8'h00;
+ next_dataByte3 <= 8'h00;
+ next_dataByte4 <= 8'h00;
+ next_checkSumByte <= 8'h00;
+ if (readWriteSDBlockReq == `READ_SD_BLOCK)
+ begin
+ NextState_rwBlkSt <= `RD_CMD_SEND_CMD;
+ next_spiCS_n <= 1'b0;
+ next_readWriteSDBlockRdy <= 1'b0;
+ next_readError <= `READ_NO_ERROR;
+ end
+ else if (readWriteSDBlockReq == `WRITE_SD_BLOCK)
+ begin
+ NextState_rwBlkSt <= `WR_CMD_SEND_CMD;
+ next_spiCS_n <= 1'b0;
+ next_readWriteSDBlockRdy <= 1'b0;
+ next_writeError <= `WRITE_NO_ERROR;
+ end
+ end
+ `WR_CMD_SEND_CMD:
+ begin
+ next_cmdByte <= 8'h58;
+ //CMD24 Block Write
+ next_dataByte1 <= blockAddr[31:24];
+ next_dataByte2 <= blockAddr[23:16];
+ next_dataByte3 <= blockAddr[15:8];
+ next_dataByte4 <= blockAddr[7:0];
+ next_checkSumByte <= 8'hff;
+ next_sendCmdReq <= 1'b1;
+ NextState_rwBlkSt <= `WR_CMD_DEL;
+ end
+ `WR_CMD_WT_FIN:
+ begin
+ if ((sendCmdRdy == 1'b1) && (respTout == 1'b1 || respByte != 8'h00))
+ begin
+ NextState_rwBlkSt <= `WT_REQ;
+ next_writeError <= `WRITE_CMD_ERROR;
+ end
+ else if (sendCmdRdy == 1'b1)
+ begin
+ NextState_rwBlkSt <= `WR_TOKEN_FF1_ST;
+ end
+ end
+ `WR_CMD_DEL:
+ begin
+ next_sendCmdReq <= 1'b0;
+ NextState_rwBlkSt <= `WR_CMD_WT_FIN;
+ end
+ `WR_TOKEN_FF1_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_rwBlkSt <= `WR_TOKEN_FF2_ST;
+ end
+ `WR_TOKEN_FF1_ST:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_rwBlkSt <= `WR_TOKEN_FF1_FIN;
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `WR_TOKEN_FF2_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_rwBlkSt <= `WR_TOKEN_FE_ST;
+ end
+ `WR_TOKEN_FF2_ST:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_rwBlkSt <= `WR_TOKEN_FF2_FIN;
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `WR_TOKEN_FE_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_rwBlkSt <= `WR_DATA_LOOP_INIT;
+ end
+ `WR_TOKEN_FE_ST:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_rwBlkSt <= `WR_TOKEN_FE_FIN;
+ next_txDataOut <= 8'hfe;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `WR_BUSY_CHK_FIN:
+ begin
+ if (locRespByte == 8'h00 && timeOutCnt != `TWO_FIFTY_MS)
+ begin
+ NextState_rwBlkSt <= `WR_BUSY_SEND_CMD1;
+ next_timeOutCnt <= timeOutCnt + 1'b1;
+ end
+ else if (timeOutCnt == `TWO_FIFTY_MS)
+ begin
+ NextState_rwBlkSt <= `WT_REQ;
+ next_writeError <= `WRITE_BUSY_ERROR;
+ end
+ else
+ begin
+ NextState_rwBlkSt <= `WT_REQ;
+ end
+ end
+ `WR_BUSY_WT_FIN1:
+ begin
+ if (rxDataRdy == 1'b1)
+ begin
+ NextState_rwBlkSt <= `WR_BUSY_CHK_FIN;
+ next_locRespByte <= rxDataIn;
+ end
+ end
+ `WR_BUSY_DEL1:
+ begin
+ next_txDataWen <= 1'b0;
+ next_rxDataRdyClr <= 1'b0;
+ next_delCnt1 <= delCnt1 + 1'b1;
+ next_delCnt2 <= 8'h00;
+ if (delCnt1 == `MAX_8_BIT)
+ begin
+ NextState_rwBlkSt <= `WR_BUSY_WT_FIN1;
+ end
+ else
+ begin
+ NextState_rwBlkSt <= `WR_BUSY_DEL2;
+ end
+ end
+ `WR_BUSY_SEND_CMD1:
+ begin
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ next_rxDataRdyClr <= 1'b1;
+ next_delCnt1 <= 8'h00;
+ NextState_rwBlkSt <= `WR_BUSY_DEL1;
+ end
+ `WR_BUSY_DEL2:
+ begin
+ next_delCnt2 <= delCnt2 + 1'b1;
+ if (delCnt2 == 8'hff)
+ begin
+ NextState_rwBlkSt <= `WR_BUSY_DEL1;
+ end
+ end
+ `WR_BUSY_INIT_LOOP:
+ begin
+ next_timeOutCnt <= 12'h000;
+ NextState_rwBlkSt <= `WR_BUSY_SEND_CMD1;
+ end
+ `RD_CMD_SEND_CMD:
+ begin
+ next_cmdByte <= 8'h51;
+ //CMD17 Block Read
+ next_dataByte1 <= blockAddr[31:24];
+ next_dataByte2 <= blockAddr[23:16];
+ next_dataByte3 <= blockAddr[15:8];
+ next_dataByte4 <= blockAddr[7:0];
+ next_checkSumByte <= 8'hff;
+ next_sendCmdReq <= 1'b1;
+ NextState_rwBlkSt <= `RD_CMD_DEL;
+ end
+ `RD_CMD_WT_FIN:
+ begin
+ if ((sendCmdRdy == 1'b1) && (respTout == 1'b1 || respByte != 8'h00))
+ begin
+ NextState_rwBlkSt <= `WT_REQ;
+ next_readError <= `READ_CMD_ERROR;
+ end
+ else if (sendCmdRdy == 1'b1)
+ begin
+ NextState_rwBlkSt <= `RD_TOKEN_INIT_LOOP;
+ end
+ end
+ `RD_CMD_DEL:
+ begin
+ next_sendCmdReq <= 1'b0;
+ NextState_rwBlkSt <= `RD_CMD_WT_FIN;
+ end
+ `RD_TOKEN_CHK_LOOP:
+ begin
+ if (locRespByte != 8'hfe && timeOutCnt != `ONE_HUNDRED_MS)
+ begin
+ NextState_rwBlkSt <= `RD_TOKEN_DEL2;
+ next_timeOutCnt <= timeOutCnt + 1'b1;
+ next_delCnt1 <= 8'h00;
+ end
+ else if (timeOutCnt == `ONE_HUNDRED_MS)
+ begin
+ NextState_rwBlkSt <= `WT_REQ;
+ next_readError <= `READ_TOKEN_ERROR;
+ end
+ else
+ begin
+ NextState_rwBlkSt <= `RD_DATA_CLR_RX;
+ next_rxDataRdyClr <= 1'b1;
+ end
+ end
+ `RD_TOKEN_WT_FIN:
+ begin
+ if (rxDataRdy == 1'b1)
+ begin
+ NextState_rwBlkSt <= `RD_TOKEN_CHK_LOOP;
+ next_locRespByte <= rxDataIn;
+ end
+ end
+ `RD_TOKEN_SEND_CMD:
+ begin
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ next_rxDataRdyClr <= 1'b1;
+ NextState_rwBlkSt <= `RD_TOKEN_DEL1;
+ end
+ `RD_TOKEN_DEL2:
+ begin
+ next_delCnt1 <= delCnt1 + 1'b1;
+ next_delCnt2 <= 8'h00;
+ if (delCnt1 == `MAX_8_BIT)
+ begin
+ NextState_rwBlkSt <= `RD_TOKEN_SEND_CMD;
+ end
+ else
+ begin
+ NextState_rwBlkSt <= `RD_TOKEN_DEL3;
+ end
+ end
+ `RD_TOKEN_INIT_LOOP:
+ begin
+ next_timeOutCnt <= 12'h000;
+ NextState_rwBlkSt <= `RD_TOKEN_SEND_CMD;
+ end
+ `RD_TOKEN_DEL1:
+ begin
+ next_txDataWen <= 1'b0;
+ next_rxDataRdyClr <= 1'b0;
+ NextState_rwBlkSt <= `RD_TOKEN_WT_FIN;
+ end
+ `RD_TOKEN_DEL3:
+ begin
+ next_delCnt2 <= delCnt2 + 1'b1;
+ if (delCnt2 == 8'hff)
+ begin
+ NextState_rwBlkSt <= `RD_TOKEN_DEL2;
+ end
+ end
+ `RD_DATA_ST_LOOP:
+ begin
+ next_txDataWen <= 1'b1;
+ next_txDataOut <= 8'hff;
+ next_loopCnt <= loopCnt + 1'b1;
+ NextState_rwBlkSt <= `RD_DATA_WT_DATA;
+ end
+ `RD_DATA_WT_DATA:
+ begin
+ next_txDataWen <= 1'b0;
+ if (rxDataRdy == 1'b1)
+ begin
+ NextState_rwBlkSt <= `RD_DATA_CHK_LOOP;
+ next_rxFifoWen <= 1'b1;
+ next_rxDataRdyClr <= 1'b1;
+ next_rxFifoData <= rxDataIn;
+ end
+ end
+ `RD_DATA_CHK_LOOP:
+ begin
+ if (loopCnt == 9'b000000000)
+ begin
+ NextState_rwBlkSt <= `RD_DATA_CS_ST1;
+ next_rxDataRdyClr <= 1'b0;
+ next_rxFifoWen <= 1'b0;
+ end
+ else
+ begin
+ NextState_rwBlkSt <= `RD_DATA_ST_LOOP;
+ next_rxDataRdyClr <= 1'b0;
+ next_rxFifoWen <= 1'b0;
+ end
+ end
+ `RD_DATA_CLR_RX:
+ begin
+ NextState_rwBlkSt <= `RD_DATA_ST_LOOP;
+ next_rxDataRdyClr <= 1'b0;
+ next_loopCnt <= 9'b000000000;
+ end
+ `RD_DATA_CS_FIN2:
+ begin
+ next_txDataWen <= 1'b0;
+ if (txDataEmpty == 1'b1)
+ begin
+ NextState_rwBlkSt <= `WT_REQ;
+ end
+ end
+ `RD_DATA_CS_FIN1:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_rwBlkSt <= `RD_DATA_CS_ST2;
+ end
+ `RD_DATA_CS_ST1:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_rwBlkSt <= `RD_DATA_CS_FIN1;
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `RD_DATA_CS_ST2:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_rwBlkSt <= `RD_DATA_CS_FIN2;
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `WR_DATA_D_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ if (loopCnt == 9'b000000000)
+ begin
+ NextState_rwBlkSt <= `WR_DATA_CS_ST1;
+ end
+ else
+ begin
+ NextState_rwBlkSt <= `WR_DATA_RD_FIFO1;
+ end
+ end
+ `WR_DATA_D_ST:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_rwBlkSt <= `WR_DATA_D_FIN;
+ next_txDataOut <= txFifoData;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `WR_DATA_RD_FIFO1:
+ begin
+ next_txFifoRen <= 1'b1;
+ next_loopCnt <= loopCnt + 1'b1;
+ NextState_rwBlkSt <= `WR_DATA_RD_FIFO2;
+ end
+ `WR_DATA_RD_FIFO2:
+ begin
+ next_txFifoRen <= 1'b0;
+ NextState_rwBlkSt <= `WR_DATA_D_ST;
+ end
+ `WR_DATA_LOOP_INIT:
+ begin
+ next_loopCnt <= 9'b000000000;
+ NextState_rwBlkSt <= `WR_DATA_RD_FIFO1;
+ end
+ `WR_DATA_CS_ST1:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_rwBlkSt <= `WR_DATA_CS_FIN1;
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `WR_DATA_CS_FIN1:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_rwBlkSt <= `WR_DATA_CS_ST2;
+ end
+ `WR_DATA_CS_FIN2:
+ begin
+ next_txDataWen <= 1'b0;
+ next_timeOutCnt <= 12'h000;
+ if (txDataEmpty == 1'b1)
+ begin
+ NextState_rwBlkSt <= `WR_DATA_REQ_RESP_ST;
+ end
+ end
+ `WR_DATA_CS_ST2:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_rwBlkSt <= `WR_DATA_CS_FIN2;
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `WR_DATA_CHK_RESP:
+ begin
+ if (timeOutCnt == `WR_RESP_TOUT)
+ begin
+ NextState_rwBlkSt <= `WT_REQ;
+ next_writeError <= `WRITE_DATA_ERROR;
+ end
+ else if (locRespByte[4:0] == 5'h5)
+ begin
+ NextState_rwBlkSt <= `WR_BUSY_INIT_LOOP;
+ end
+ else
+ begin
+ NextState_rwBlkSt <= `WR_DATA_REQ_RESP_ST;
+ end
+ end
+ `WR_DATA_REQ_RESP_ST:
+ begin
+ NextState_rwBlkSt <= `WR_DATA_DEL;
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ next_timeOutCnt <= timeOutCnt + 1'b1;
+ next_rxDataRdyClr <= 1'b1;
+ end
+ `WR_DATA_REQ_RESP_FIN:
+ begin
+ if (rxDataRdy == 1'b1)
+ begin
+ NextState_rwBlkSt <= `WR_DATA_CHK_RESP;
+ next_locRespByte <= rxDataIn;
+ end
+ end
+ `WR_DATA_DEL:
+ begin
+ NextState_rwBlkSt <= `WR_DATA_REQ_RESP_FIN;
+ next_txDataWen <= 1'b0;
+ next_rxDataRdyClr <= 1'b0;
+ end
+ endcase
+end
+
+// Current State Logic (sequential)
+always @ (posedge clk)
+begin
+ if (rst == 1'b1)
+ CurrState_rwBlkSt <= `ST_RW_SD;
+ else
+ CurrState_rwBlkSt <= NextState_rwBlkSt;
+end
+
+// Registered outputs logic
+always @ (posedge clk)
+begin
+ if (rst == 1'b1)
+ begin
+ readWriteSDBlockRdy <= 1'b0;
+ spiCS_n <= 1'b1;
+ readError <= 1'b0;
+ writeError <= 1'b0;
+ txDataOut <= 8'h00;
+ txDataWen <= 1'b0;
+ rxDataRdyClr <= 1'b0;
+ cmdByte <= 8'h00;
+ dataByte1 <= 8'h00;
+ dataByte2 <= 8'h00;
+ dataByte3 <= 8'h00;
+ dataByte4 <= 8'h00;
+ checkSumByte <= 8'h00;
+ sendCmdReq <= 1'b0;
+ txFifoRen <= 1'b0;
+ rxFifoWen <= 1'b0;
+ rxFifoData <= 8'h00;
+ loopCnt <= 8'h00;
+ delCnt1 <= 8'h00;
+ delCnt2 <= 8'h00;
+ timeOutCnt <= 12'h000;
+ locRespByte <= 8'h00;
+ end
+ else
+ begin
+ readWriteSDBlockRdy <= next_readWriteSDBlockRdy;
+ spiCS_n <= next_spiCS_n;
+ readError <= next_readError;
+ writeError <= next_writeError;
+ txDataOut <= next_txDataOut;
+ txDataWen <= next_txDataWen;
+ rxDataRdyClr <= next_rxDataRdyClr;
+ cmdByte <= next_cmdByte;
+ dataByte1 <= next_dataByte1;
+ dataByte2 <= next_dataByte2;
+ dataByte3 <= next_dataByte3;
+ dataByte4 <= next_dataByte4;
+ checkSumByte <= next_checkSumByte;
+ sendCmdReq <= next_sendCmdReq;
+ txFifoRen <= next_txFifoRen;
+ rxFifoWen <= next_rxFifoWen;
+ rxFifoData <= next_rxFifoData;
+ loopCnt <= next_loopCnt;
+ delCnt1 <= next_delCnt1;
+ delCnt2 <= next_delCnt2;
+ timeOutCnt <= next_timeOutCnt;
+ locRespByte <= next_locRespByte;
+ end
+end
+
+endmodule \ No newline at end of file
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.asf b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.asf
new file mode 100644
index 000000000..f6f39e49d
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.asf
@@ -0,0 +1,121 @@
+VERSION=1.15
+HEADER
+FILE="readWriteSPIWireData.asf"
+FID=4788d213
+LANGUAGE=VERILOG
+ENTITY="readWriteSPIWireData"
+FRAMES=ON
+FREEOID=95
+"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// readWriteSPIWireData.v ////\n//// ////\n//// This file is part of the spiMaster opencores effort.\n//// <http://www.opencores.org/cores//> ////\n//// ////\n//// Module Description: ////\n//// parameterized dual clock domain fifo. \n//// fifo depth is restricted to 2^ADDR_WIDTH\n//// No protection against over runs and under runs.\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from <http://www.opencores.org/lgpl.shtml> ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n`include \"timescale.v\"\n`include \"spiMaster_defines.v\"\n"
+END
+BUNDLES
+B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0
+B T "Conditions" 0,0,0 0 0 0 255,255,255 0 3125 0 0110 1 "Arial" 0
+B F "States" 0,0,0 0 0 1 0,255,0 1 3527 1480 0000 1 "Arial" 0
+B T "Actions" 0,0,0 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0
+B T "Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 0
+B L "Transitions" 0,0,0 0 0 1 0,0,0 1 3527 1480 0000 1 "Arial" 0
+B F "Ports" 0,0,0 0 0 1 0,255,255 1 3527 1480 0000 1 "Arial" 0
+B L "Errors" 255,0,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0
+B T "State Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 4
+B F "Current State" 255,255,0 0 0 1 255,255,0 1 3527 1480 0000 1 "Arial" 0
+B T "Comments" 157,157,157 0 0 1 255,255,255 0 3527 1480 0000 1 "Arial" 0
+B L "Info" 0,255,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0
+END
+INSTHEADER 1
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 5000,5000 10000,10000
+END
+INSTHEADER 57
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+OBJECTS
+G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 1 "Arial" 0 | 110650,276400 1 0 0 "Module: readWriteSPIWireData"
+A 5 0 1 TEXT "Actions" | 30673,274317 1 0 0 "-- diagram ACTION"
+F 6 0 512 72 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,246400
+L 7 6 0 TEXT "Labels" | 31400,243400 1 0 0 "rwSPISt"
+L 8 9 0 TEXT "Labels" | 167524,266756 1 0 0 "txDataFull"
+I 9 0 2 Builtin InPort | 161524,266756 "" ""
+L 10 11 0 TEXT "Labels" | 165076,262648 1 0 0 "txDataFullClr"
+I 11 0 2 Builtin OutPort | 159076,262648 "" ""
+L 12 13 0 TEXT "Labels" | 122918,264250 1 0 0 "rxDataRdySet"
+I 13 0 2 Builtin OutPort | 116918,264250 "" ""
+L 14 15 0 TEXT "Labels" | 167406,271658 1 0 0 "txDataIn[7:0]"
+I 15 0 130 Builtin InPort | 161406,271658 "" ""
+L 16 17 0 TEXT "Labels" | 123072,269174 1 0 0 "rxDataOut[7:0]"
+I 17 0 130 Builtin OutPort | 117072,269174 "" ""
+L 18 19 0 TEXT "State Labels" | 110704,231360 1 0 0 "WT_TX_DATA\n/0/"
+S 19 6 0 ELLIPSE "States" | 110704,231360 6500 6500
+L 20 21 0 TEXT "State Labels" | 112226,189022 1 0 0 "CLK_HI\n/1/"
+S 21 6 4096 ELLIPSE "States" | 112226,189022 6500 6500
+W 22 6 0 19 21 BEZIER "Transitions" | 110470,224884 110707,219468 110980,207626 111395,195467
+C 23 22 0 TEXT "Conditions" | 116947,226592 1 0 0 "txDataFull == 1'b1"
+A 24 22 16 TEXT "Actions" | 104644,222649 1 0 0 "txDataShiftReg <= txDataIn;\nrxDataShiftReg <= 8'h00;\nbitCnt <= 4'h0;\nclkDelayCnt <= 8'h00;\ntxDataFullClr <= 1'b1;\ntxDataEmpty <= 1'b0;"
+L 25 26 0 TEXT "Labels" | 85178,260017 1 0 0 "txDataShiftReg[7:0]"
+I 26 0 130 Builtin Signal | 82178,260017 "" ""
+L 27 28 0 TEXT "Labels" | 85450,264368 1 0 0 "bitCnt[3:0]"
+I 28 0 130 Builtin Signal | 82450,264368 "" ""
+L 29 30 0 TEXT "Labels" | 45301,255946 1 0 0 "clkDelay[7:0]"
+I 30 0 130 Builtin InPort | 39301,255946 "" ""
+L 31 32 0 TEXT "Labels" | 85178,269538 1 0 0 "clkDelayCnt[7:0]"
+I 32 0 130 Builtin Signal | 82178,269538 "" ""
+L 33 34 0 TEXT "State Labels" | 112064,143714 1 0 0 "CLK_LO\n/2/"
+S 34 6 8192 ELLIPSE "States" | 112064,143714 6500 6500
+W 35 6 0 21 34 BEZIER "Transitions" | 111477,182571 110721,178461 111926,154930 111406,150180
+A 36 35 16 TEXT "Actions" | 117201,173770 1 0 0 "spiClkOut <= 1'b0;\nspiDataOut <= txDataShiftReg[7];\ntxDataShiftReg <= {txDataShiftReg[6:0], 1'b0};\nclkDelayCnt <= 8'h00;"
+A 40 34 4 TEXT "Actions" | 129744,145618 1 0 0 "clkDelayCnt <= clkDelayCnt + 1'b1;"
+C 41 39 0 TEXT "Conditions" | 113864,136917 1 0 0 "bitCnt == 4'h8"
+W 39 6 1 34 57 BEZIER "Transitions" | 112175,137251 112384,130999 112714,101841 111797,94879
+W 50 6 2 34 21 BEZIER "Transitions" | 108826,149348 101042,157950 83708,154801 80796,161835\
+ 77884,168870 77971,186684 79172,191015 80374,195346\
+ 85000,196884 88570,197360 92140,197836 101831,194594\
+ 106220,191507
+A 51 50 16 TEXT "Actions" | 41615,180095 1 0 0 "spiClkOut <= 1'b1;\nbitCnt <= bitCnt + 1'b1;\nclkDelayCnt <= 8'h00;\nrxDataShiftReg <= {rxDataShiftReg[6:0], spiDataIn};"
+C 52 50 0 TEXT "Conditions" | 69697,159224 1 0 0 "clkDelayCnt == clkDelay"
+A 53 21 4 TEXT "Actions" | 129906,194545 1 0 0 "clkDelayCnt <= clkDelayCnt + 1'b1;\ntxDataFullClr <= 1'b0;\nrxDataRdySet <= 1'b0;"
+C 54 35 0 TEXT "Conditions" | 112616,182259 1 0 0 "clkDelayCnt == clkDelay"
+L 56 57 0 TEXT "State Labels" | 112441,91219 1 0 0 "J1"
+S 57 6 12292 ELLIPSE 0,0,0 0 0 1 255,0,0 1 | 111975,91295 3595 3595
+H 58 57 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+I 61 58 0 Builtin Entry | 96520,182880
+I 62 58 0 Builtin Exit | 123838,149654
+W 63 58 0 61 62 BEZIER "Transitions" | 100327,182880 105881,175159 115604,157376 121159,149654
+A 55 39 16 TEXT "Actions" | 101170,118509 1 0 0 "rxDataRdySet <= 1'b1;\nrxDataOut <= rxDataShiftReg;"
+A 79 78 4 TEXT "Actions" | 172448,235984 1 0 0 "bitCnt <= 4'h0;\nclkDelayCnt <= 8'h00;\ntxDataFullClr <= 1'b0;\nrxDataRdySet <= 1'b0;\ntxDataShiftReg <= 8'h00;\nrxDataShiftReg <= 8'h00;\nrxDataOut <= 8'h00;\nspiDataOut <= 1'b0;\nspiClkOut <= 1'b0;\ntxDataEmpty <= 1'b0;"
+S 78 6 16384 ELLIPSE "States" | 163200,234352 6500 6500
+L 77 78 0 TEXT "State Labels" | 163200,234352 1 0 0 "ST_RW_WIRE\n/3/"
+C 75 70 0 TEXT "Conditions" | 67748,241498 1 0 0 "rst == 1'b1"
+I 74 0 2 Builtin InPort | 195700,267632 "" ""
+L 73 74 0 TEXT "Labels" | 201700,267632 1 0 0 "rst"
+I 72 0 3 Builtin InPort | 195700,272800 "" ""
+L 71 72 0 TEXT "Labels" | 201700,272800 1 0 0 "clk"
+W 70 6 0 69 78 BEZIER "Transitions" | 53584,240424 67019,243331 142652,244275 157000,236303
+I 69 6 0 Builtin Reset | 53584,240424
+W 65 6 1 57 21 BEZIER "Transitions" | 108870,93105 102326,112538 73109,121258 64708,125153\
+ 56308,129049 47906,146800 45670,157910 43435,169021\
+ 42893,195716 46449,203811 50006,211907 64777,217599\
+ 73008,216616 81239,215634 100244,201596 107791,193773
+C 66 65 0 TEXT "Conditions" | 72805,108285 1 0 0 "txDataFull == 1'b1"
+W 67 6 2 57 19 BEZIER "Transitions" | 108779,92940 102622,102639 71923,91036 67388,98354\
+ 62853,105673 50345,122275 43604,135689 36864,149103\
+ 35643,196122 41029,209502 46416,222883 69180,229387\
+ 78123,230877 87066,232368 97753,231428 104224,231859
+A 68 65 16 TEXT "Actions" | 47229,151610 1 0 0 "txDataShiftReg <= txDataIn;\nbitCnt <= 3'b000;\nclkDelayCnt <= 8'h00;\ntxDataFullClr <= 1'b1;"
+L 88 87 0 TEXT "Labels" | 85310,255376 1 0 0 "rxDataShiftReg[7:0]"
+I 87 0 130 Builtin Signal | 82310,255376 "" ""
+I 86 0 2 Builtin OutPort | 37191,270020 "" ""
+L 85 86 0 TEXT "Labels" | 43191,270020 1 0 0 "spiClkOut"
+I 84 0 2 Builtin InPort | 39102,260465 "" ""
+L 83 84 0 TEXT "Labels" | 45102,260465 1 0 0 "spiDataIn"
+I 82 0 2 Builtin OutPort | 37191,265379 "" ""
+L 81 82 0 TEXT "Labels" | 43191,265379 1 0 0 "spiDataOut"
+W 80 6 0 78 19 BEZIER "Transitions" | 156735,233684 145855,233140 128082,232022 117202,231478
+A 92 19 4 TEXT "Actions" | 71554,227603 1 0 0 "rxDataRdySet <= 1'b0;\ntxDataEmpty <= 1'b1;"
+L 93 94 0 TEXT "Labels" | 165150,258150 1 0 0 "txDataEmpty"
+I 94 0 2 Builtin OutPort | 159150,258150 "" ""
+END
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.v b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.v
new file mode 100644
index 000000000..e105e8f75
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/readWriteSPIWireData.v
@@ -0,0 +1,229 @@
+
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// readWriteSPIWireData.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+//// parameterized dual clock domain fifo.
+//// fifo depth is restricted to 2^ADDR_WIDTH
+//// No protection against over runs and under runs.
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+`include "spiMaster_defines.v"
+
+module readWriteSPIWireData (clk, clkDelay, rst, rxDataOut, rxDataRdySet, spiClkOut, spiDataIn, spiDataOut, txDataEmpty, txDataFull, txDataFullClr, txDataIn);
+input clk;
+input [7:0]clkDelay;
+input rst;
+input spiDataIn;
+input txDataFull;
+input [7:0]txDataIn;
+output [7:0]rxDataOut;
+output rxDataRdySet;
+output spiClkOut;
+output spiDataOut;
+output txDataEmpty;
+output txDataFullClr;
+
+wire clk;
+wire [7:0]clkDelay;
+wire rst;
+reg [7:0]rxDataOut, next_rxDataOut;
+reg rxDataRdySet, next_rxDataRdySet;
+reg spiClkOut, next_spiClkOut;
+wire spiDataIn;
+reg spiDataOut, next_spiDataOut;
+reg txDataEmpty, next_txDataEmpty;
+wire txDataFull;
+reg txDataFullClr, next_txDataFullClr;
+wire [7:0]txDataIn;
+
+// diagram signals declarations
+reg [3:0]bitCnt, next_bitCnt;
+reg [7:0]clkDelayCnt, next_clkDelayCnt;
+reg [7:0]rxDataShiftReg, next_rxDataShiftReg;
+reg [7:0]txDataShiftReg, next_txDataShiftReg;
+
+// BINARY ENCODED state machine: rwSPISt
+// State codes definitions:
+`define WT_TX_DATA 2'b00
+`define CLK_HI 2'b01
+`define CLK_LO 2'b10
+`define ST_RW_WIRE 2'b11
+
+reg [1:0]CurrState_rwSPISt, NextState_rwSPISt;
+
+// Diagram actions (continuous assignments allowed only: assign ...)
+// diagram ACTION
+
+
+// Machine: rwSPISt
+
+// NextState logic (combinatorial)
+always @ (txDataFull or txDataIn or clkDelayCnt or clkDelay or txDataShiftReg or bitCnt or rxDataShiftReg or spiDataIn or rxDataRdySet or txDataEmpty or txDataFullClr or spiClkOut or spiDataOut or rxDataOut or CurrState_rwSPISt)
+begin
+ NextState_rwSPISt <= CurrState_rwSPISt;
+ // Set default values for outputs and signals
+ next_rxDataRdySet <= rxDataRdySet;
+ next_txDataEmpty <= txDataEmpty;
+ next_txDataShiftReg <= txDataShiftReg;
+ next_rxDataShiftReg <= rxDataShiftReg;
+ next_bitCnt <= bitCnt;
+ next_clkDelayCnt <= clkDelayCnt;
+ next_txDataFullClr <= txDataFullClr;
+ next_spiClkOut <= spiClkOut;
+ next_spiDataOut <= spiDataOut;
+ next_rxDataOut <= rxDataOut;
+ case (CurrState_rwSPISt) // synopsys parallel_case full_case
+ `WT_TX_DATA:
+ begin
+ next_rxDataRdySet <= 1'b0;
+ next_txDataEmpty <= 1'b1;
+ if (txDataFull == 1'b1)
+ begin
+ NextState_rwSPISt <= `CLK_HI;
+ next_txDataShiftReg <= txDataIn;
+ next_rxDataShiftReg <= 8'h00;
+ next_bitCnt <= 4'h0;
+ next_clkDelayCnt <= 8'h00;
+ next_txDataFullClr <= 1'b1;
+ next_txDataEmpty <= 1'b0;
+ end
+ end
+ `CLK_HI:
+ begin
+ next_clkDelayCnt <= clkDelayCnt + 1'b1;
+ next_txDataFullClr <= 1'b0;
+ next_rxDataRdySet <= 1'b0;
+ if (clkDelayCnt == clkDelay)
+ begin
+ NextState_rwSPISt <= `CLK_LO;
+ next_spiClkOut <= 1'b0;
+ next_spiDataOut <= txDataShiftReg[7];
+ next_txDataShiftReg <= {txDataShiftReg[6:0], 1'b0};
+ next_clkDelayCnt <= 8'h00;
+ end
+ end
+ `CLK_LO:
+ begin
+ next_clkDelayCnt <= clkDelayCnt + 1'b1;
+ if ((bitCnt == 4'h8) && (txDataFull == 1'b1))
+ begin
+ NextState_rwSPISt <= `CLK_HI;
+ next_rxDataRdySet <= 1'b1;
+ next_rxDataOut <= rxDataShiftReg;
+ next_txDataShiftReg <= txDataIn;
+ next_bitCnt <= 3'b000;
+ next_clkDelayCnt <= 8'h00;
+ next_txDataFullClr <= 1'b1;
+ end
+ else if (bitCnt == 4'h8)
+ begin
+ NextState_rwSPISt <= `WT_TX_DATA;
+ next_rxDataRdySet <= 1'b1;
+ next_rxDataOut <= rxDataShiftReg;
+ end
+ else if (clkDelayCnt == clkDelay)
+ begin
+ NextState_rwSPISt <= `CLK_HI;
+ next_spiClkOut <= 1'b1;
+ next_bitCnt <= bitCnt + 1'b1;
+ next_clkDelayCnt <= 8'h00;
+ next_rxDataShiftReg <= {rxDataShiftReg[6:0], spiDataIn};
+ end
+ end
+ `ST_RW_WIRE:
+ begin
+ next_bitCnt <= 4'h0;
+ next_clkDelayCnt <= 8'h00;
+ next_txDataFullClr <= 1'b0;
+ next_rxDataRdySet <= 1'b0;
+ next_txDataShiftReg <= 8'h00;
+ next_rxDataShiftReg <= 8'h00;
+ next_rxDataOut <= 8'h00;
+ next_spiDataOut <= 1'b0;
+ next_spiClkOut <= 1'b0;
+ next_txDataEmpty <= 1'b0;
+ NextState_rwSPISt <= `WT_TX_DATA;
+ end
+ endcase
+end
+
+// Current State Logic (sequential)
+always @ (posedge clk)
+begin
+ if (rst == 1'b1)
+ CurrState_rwSPISt <= `ST_RW_WIRE;
+ else
+ CurrState_rwSPISt <= NextState_rwSPISt;
+end
+
+// Registered outputs logic
+always @ (posedge clk)
+begin
+ if (rst == 1'b1)
+ begin
+ rxDataRdySet <= 1'b0;
+ txDataEmpty <= 1'b0;
+ txDataFullClr <= 1'b0;
+ spiClkOut <= 1'b0;
+ spiDataOut <= 1'b0;
+ rxDataOut <= 8'h00;
+ txDataShiftReg <= 8'h00;
+ rxDataShiftReg <= 8'h00;
+ bitCnt <= 4'h0;
+ clkDelayCnt <= 8'h00;
+ end
+ else
+ begin
+ rxDataRdySet <= next_rxDataRdySet;
+ txDataEmpty <= next_txDataEmpty;
+ txDataFullClr <= next_txDataFullClr;
+ spiClkOut <= next_spiClkOut;
+ spiDataOut <= next_spiDataOut;
+ rxDataOut <= next_rxDataOut;
+ txDataShiftReg <= next_txDataShiftReg;
+ rxDataShiftReg <= next_rxDataShiftReg;
+ bitCnt <= next_bitCnt;
+ clkDelayCnt <= next_clkDelayCnt;
+ end
+end
+
+endmodule \ No newline at end of file
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf b/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf
new file mode 100644
index 000000000..4d05954e3
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.asf
@@ -0,0 +1,231 @@
+VERSION=1.15
+HEADER
+FILE="sendCmd.asf"
+FID=4788d213
+LANGUAGE=VERILOG
+ENTITY="sendCmd"
+FRAMES=ON
+FREEOID=426
+"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// sendCmd.v ////\n//// ////\n//// This file is part of the spiMaster opencores effort.\n//// <http://www.opencores.org/cores//> ////\n//// ////\n//// Module Description: ////\n//// parameterized dual clock domain fifo. \n//// fifo depth is restricted to 2^ADDR_WIDTH\n//// No protection against over runs and under runs.\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from <http://www.opencores.org/lgpl.shtml> ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n`include \"timescale.v\"\n\n"
+END
+BUNDLES
+B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0
+B T "Conditions" 0,0,0 0 0 0 255,255,255 0 3125 0 0110 1 "Arial" 0
+B F "States" 0,0,0 0 0 1 0,255,0 1 3527 1480 0000 1 "Arial" 0
+B T "Actions" 0,0,0 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0
+B T "Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 0
+B L "Transitions" 0,0,0 0 0 1 0,0,0 1 3527 1480 0000 1 "Arial" 0
+B F "Ports" 0,0,0 0 0 1 0,255,255 1 3527 1480 0000 1 "Arial" 0
+B L "Errors" 255,0,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0
+B T "State Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 4
+B F "Current State" 255,255,0 0 0 1 255,255,0 1 3527 1480 0000 1 "Arial" 0
+B T "Comments" 157,157,157 0 0 1 255,255,255 0 3527 1480 0000 1 "Arial" 0
+B L "Info" 0,255,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0
+END
+INSTHEADER 1
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 5000,5000 10000,10000
+END
+INSTHEADER 168
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+OBJECTS
+S 287 169 36864 ELLIPSE "States" | 58145,42664 6500 6500
+C 286 284 0 TEXT "Conditions" | 58455,63310 1 0 0 "txDataFull == 1'b0"
+A 285 284 16 TEXT "Actions" | 58389,58640 1 0 0 "txDataOut <= dataByte3;\ntxDataWen <= 1'b1;"
+W 284 169 0 289 287 BEZIER "Transitions" | 55994,62919 56515,59615 56972,52449 57396,49118
+G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 1 "Arial" 0 | 110650,276400 1 0 0 "Module: sendCmd"
+A 5 0 1 TEXT "Actions" | 30400,270465 1 0 0 "-- diagram ACTION\nalways @(sendCmdReq1 or sendCmdReq2 ) begin\n sendCmdReq <= sendCmdReq1 | sendCmdReq2;\nend\n\nalways @(posedge clk) begin\n cmdByte <= cmdByte_1 | cmdByte_2;\n dataByte1 <= dataByte1_1 | dataByte1_2;\n dataByte2 <= dataByte2_1 | dataByte2_2;\n dataByte3 <= dataByte3_1 | dataByte3_2;\n dataByte4 <= dataByte4_1 | dataByte4_2;\n checkSumByte <= checkSumByte_1 | checkSumByte_2;\nend"
+F 6 0 512 72 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,208764
+L 7 6 0 TEXT "Labels" | 33841,199139 1 0 0 "sndCmdSt"
+L 298 297 0 TEXT "State Labels" | 119183,117704 1 0 0 "D_BYTE4_ST\n/12/"
+S 297 169 49152 ELLIPSE "States" | 119183,117704 6500 6500
+L 296 295 0 TEXT "State Labels" | 121962,90985 1 0 0 "D_BYTE4_FIN\n/11/"
+S 295 169 45056 ELLIPSE "States" | 121962,90985 6500 6500
+C 294 292 0 TEXT "Conditions" | 122272,111631 1 0 0 "txDataFull == 1'b0"
+A 293 292 16 TEXT "Actions" | 122206,106961 1 0 0 "txDataOut <= dataByte4;\ntxDataWen <= 1'b1;"
+W 292 169 0 297 295 BEZIER "Transitions" | 119811,111240 120332,107936 120789,100770 121213,97439
+L 290 289 0 TEXT "State Labels" | 55366,69383 1 0 0 "D_BYTE3_ST\n/10/"
+S 289 169 40960 ELLIPSE "States" | 55366,69383 6500 6500
+L 288 287 0 TEXT "State Labels" | 58145,42664 1 0 0 "D_BYTE3_FIN\n/9/"
+W 319 169 0 205 247 BEZIER "Transitions" | 63983,140499 60844,135517 56583,126997 53444,122015
+A 318 295 4 TEXT "Actions" | 139150,86599 1 0 0 "txDataWen <= 1'b0;"
+A 317 287 4 TEXT "Actions" | 76633,44284 1 0 0 "txDataWen <= 1'b0;"
+A 316 225 4 TEXT "Actions" | 71992,91513 1 0 0 "txDataWen <= 1'b0;"
+A 315 311 4 TEXT "Actions" | 134912,45978 1 0 0 "txDataWen <= 1'b0;\ntimeOutCnt <= 10'h000;"
+L 314 313 0 TEXT "State Labels" | 122732,70475 1 0 0 "CS_ST\n/14/"
+S 313 169 57344 ELLIPSE "States" | 122732,70475 6500 6500
+L 312 311 0 TEXT "State Labels" | 125511,43756 1 0 0 "CS_FIN\n/13/"
+S 311 169 53248 ELLIPSE "States" | 125511,43756 6500 6500
+C 310 308 0 TEXT "Conditions" | 125821,64402 1 0 0 "txDataFull == 1'b0"
+A 309 308 16 TEXT "Actions" | 125755,59732 1 0 0 "txDataOut <= checkSumByte;\ntxDataWen <= 1'b1;"
+W 308 169 0 313 311 BEZIER "Transitions" | 123360,64011 123881,60707 124338,53541 124762,50210
+W 335 169 0 332 182 BEZIER "Transitions" | 45364,251903 47642,252271 81651,254936 85013,246121
+W 334 169 0 185 332 BEZIER "Transitions" | 41073,269282 40336,265731 39290,259500 38553,255949
+S 332 169 61440 ELLIPSE "States" | 39325,249500 6500 6500
+L 331 332 0 TEXT "State Labels" | 39325,251108 1 0 0 "SEND_FF_ST\n/15/"
+I 329 0 2 Builtin Signal | 178213,215725 "" ""
+L 328 329 0 TEXT "Labels" | 181213,215725 1 0 0 "sendCmdReq"
+L 327 326 0 TEXT "Labels" | 157273,263636 1 0 0 "sendCmdReq2"
+I 326 0 2 Builtin InPort | 151273,263636 "" ""
+I 325 0 2 Builtin InPort | 151961,268514 "" ""
+L 324 325 0 TEXT "Labels" | 157961,268514 1 0 0 "sendCmdReq1"
+W 322 169 0 295 313 BEZIER "Transitions" | 122244,84497 122585,81836 122598,79603 122939,76942
+W 321 169 0 287 297 BEZIER "Transitions" | 63804,39468 72608,38035 85574,34251 91921,34114\
+ 98268,33978 106049,36299 107653,41212 109257,46126\
+ 107891,63463 106287,73597 104684,83732 99633,106938\
+ 99837,114069 100042,121201 105913,126526 108335,126867\
+ 110758,127208 113121,124683 115032,122704
+W 320 169 0 225 289 BEZIER "Transitions" | 54252,82625 54525,80100 54595,78388 54868,75863
+A 351 260 16 TEXT "Actions" | 146029,190376 1 0 0 "respTout <= 1'b1;"
+A 338 335 16 TEXT "Actions" | 57237,258852 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1"
+C 337 335 0 TEXT "Conditions" | 46128,249292 1 0 0 "txDataFull == 1'b0"
+I 74 0 2 Builtin InPort | 195700,267632 "" ""
+L 73 74 0 TEXT "Labels" | 201700,267632 1 0 0 "rst"
+I 72 0 3 Builtin InPort | 195700,272800 "" ""
+L 71 72 0 TEXT "Labels" | 201700,272800 1 0 0 "clk"
+L 367 366 0 TEXT "Labels" | 118445,251448 1 0 0 "dataByte2_2[7:0]"
+I 366 0 130 Builtin InPort | 112445,251448 "" ""
+L 365 364 0 TEXT "Labels" | 118188,256588 1 0 0 "dataByte2_1[7:0]"
+I 364 0 130 Builtin InPort | 112188,256588 "" ""
+L 363 362 0 TEXT "Labels" | 118445,261214 1 0 0 "dataByte1_2[7:0]"
+I 362 0 130 Builtin InPort | 112445,261214 "" ""
+I 361 0 130 Builtin InPort | 112448,265583 "" ""
+L 360 361 0 TEXT "Labels" | 118448,265583 1 0 0 "dataByte1_1[7:0]"
+A 358 253 16 TEXT "Actions" | 138128,223118 1 0 0 "respByte <= rxDataIn;"
+C 357 356 0 TEXT "Conditions" | 73122,198009 1 0 0 "rst == 1'b1"
+W 356 6 0 355 100 BEZIER "Transitions" | 60594,200141 72339,199739 94500,197787 106245,197385
+I 355 6 0 Builtin Reset | 60594,200141
+W 354 6 0 168 102 BEZIER "Transitions" | 105630,145031 98556,147323 85638,151108 82264,155037\
+ 78891,158967 79547,170103 83247,173083 86948,176063\
+ 98835,174994 105909,175387
+L 95 96 0 TEXT "Labels" | 155940,273023 1 0 0 "sendCmdRdy"
+I 383 0 130 Builtin Signal | 177941,226776 "" ""
+L 382 383 0 TEXT "Labels" | 180941,226776 1 0 0 "dataByte4[7:0]"
+I 381 0 130 Builtin Signal | 178198,220865 "" ""
+L 380 381 0 TEXT "Labels" | 181198,220865 1 0 0 "checkSumByte[7:0]"
+L 379 378 0 TEXT "Labels" | 117931,223949 1 0 0 "checkSumByte_2[7:0]"
+I 378 0 130 Builtin InPort | 111931,223949 "" ""
+L 377 376 0 TEXT "Labels" | 117931,228318 1 0 0 "checkSumByte_1[7:0]"
+I 376 0 130 Builtin InPort | 111931,228318 "" ""
+L 375 374 0 TEXT "Labels" | 118188,232687 1 0 0 "dataByte4_2[7:0]"
+I 374 0 130 Builtin InPort | 112188,232687 "" ""
+L 373 372 0 TEXT "Labels" | 118188,237313 1 0 0 "dataByte4_1[7:0]"
+I 372 0 130 Builtin InPort | 112188,237313 "" ""
+L 371 370 0 TEXT "Labels" | 118188,241939 1 0 0 "dataByte3_2[7:0]"
+I 370 0 130 Builtin InPort | 112188,241939 "" ""
+L 369 368 0 TEXT "Labels" | 118188,246822 1 0 0 "dataByte3_1[7:0]"
+I 368 0 130 Builtin InPort | 112188,246822 "" ""
+I 111 0 2 Builtin OutPort | 150520,249425 "" ""
+L 110 109 0 TEXT "Labels" | 158977,244784 1 0 0 "txDataFull"
+I 109 0 2 Builtin InPort | 152977,244784 "" ""
+L 108 107 0 TEXT "Labels" | 192010,249698 1 0 0 "rxDataRdy"
+I 107 0 2 Builtin InPort | 186010,249698 "" ""
+W 106 6 0 102 168 BEZIER "Transitions" | 112025,167024 112028,164120 111877,156052 111880,149910
+W 105 6 0 100 102 BEZIER "Transitions" | 112303,189956 112099,186817 112066,183113 111862,179974
+S 102 6 65536 ELLIPSE "States" | 112128,173499 6500 6500
+L 101 102 0 TEXT "State Labels" | 112128,173499 1 0 0 "WT_CMD\n/17/"
+S 100 6 69632 ELLIPSE "States" | 112674,196431 6500 6500
+L 99 100 0 TEXT "State Labels" | 112674,196431 1 0 0 "ST_S_CMD\n/18/"
+I 96 0 2 Builtin OutPort | 149940,273023 "" ""
+I 391 0 130 Builtin OutPort | 109748,219216 "" ""
+L 390 391 0 TEXT "Labels" | 115748,219216 1 0 0 "respByte[7:0]"
+L 389 388 0 TEXT "Labels" | 181327,240140 1 0 0 "dataByte1[7:0]"
+I 388 0 130 Builtin Signal | 178327,240140 "" ""
+L 387 386 0 TEXT "Labels" | 181327,235771 1 0 0 "dataByte2[7:0]"
+I 386 0 130 Builtin Signal | 178327,235771 "" ""
+L 385 384 0 TEXT "Labels" | 181070,231402 1 0 0 "dataByte3[7:0]"
+I 384 0 130 Builtin Signal | 178070,231402 "" ""
+C 123 106 0 TEXT "Conditions" | 113758,166364 1 0 0 "sendCmdReq == 1'b1"
+L 122 121 0 TEXT "Labels" | 189826,245330 1 0 0 "rxDataRdyClr"
+I 121 0 2 Builtin OutPort | 183826,245330 "" ""
+L 118 117 0 TEXT "Labels" | 156520,254066 1 0 0 "txDataOut[7:0]"
+I 117 0 130 Builtin OutPort | 150520,254066 "" ""
+L 116 115 0 TEXT "Labels" | 191737,253793 1 0 0 "rxDataIn[7:0]"
+I 115 0 130 Builtin InPort | 185737,253793 "" ""
+L 112 111 0 TEXT "Labels" | 156520,249425 1 0 0 "txDataWen"
+L 392 393 0 TEXT "Labels" | 155040,236450 1 0 0 "cmdByte_1[7:0]"
+I 393 0 130 Builtin InPort | 149040,236450 "" ""
+L 394 395 0 TEXT "Labels" | 155040,232130 1 0 0 "cmdByte_2[7:0]"
+I 395 0 130 Builtin InPort | 149040,232130 "" ""
+L 396 397 0 TEXT "Labels" | 115350,214850 1 0 0 "respTout"
+I 397 0 2 Builtin OutPort | 109350,214850 "" ""
+L 398 399 0 TEXT "Labels" | 156083,221028 1 0 0 "cmdByte[7:0]"
+I 399 0 130 Builtin Signal | 153083,221028 "" ""
+A 137 100 4 TEXT "Actions" | 132312,205914 1 0 0 "sendCmdRdy <= 1'b0;\ntxDataWen <= 1'b0;\ntxDataOut <= 8'h00;\nrxDataRdyClr <= 1'b0;\nrespByte <= 8'h00;\nrespTout <= 1'b0;\ntimeOutCnt <= 10'h000;"
+A 138 102 4 TEXT "Actions" | 124218,176348 1 0 0 "sendCmdRdy <= 1'b1;"
+A 139 106 16 TEXT "Actions" | 108893,161005 1 0 0 "sendCmdRdy <= 1'b0;\nrespTout <= 1'b0;"
+L 400 401 0 TEXT "Labels" | 156156,216020 1 0 0 "timeOutCnt[9:0]"
+I 401 0 130 Builtin Signal | 153156,216020 "" ""
+L 407 408 0 TEXT "Labels" | 158650,240900 1 0 0 "txDataEmpty"
+I 408 0 2 Builtin InPort | 152650,240900 "" ""
+A 412 410 16 TEXT "Actions" | 187604,127904 1 0 0 ""
+C 411 410 0 TEXT "Conditions" | 176525,34775 1 0 0 "txDataEmpty == 1'b1"
+W 410 169 0 311 234 BEZIER "Transitions" | 129680,38771 133617,37459 138963,34462 145962,34024\
+ 152962,33587 173088,34463 180556,35962 188025,37462\
+ 197775,42588 199431,55306 201088,68025 197962,113775\
+ 186681,128962 175400,144150 133400,159150 122119,165962\
+ 110838,172775 107712,185025 107774,191306 107837,197587\
+ 111213,210463 112150,219431 113087,228400 113463,251400\
+ 114962,258243 116462,265087 122088,269463 125056,270025\
+ 128025,270588 133111,267776 136236,266714
+L 420 421 0 TEXT "State Labels" | 197224,232320 1 0 0 "DEL\n/19/"
+S 421 169 77824 ELLIPSE "States" | 197224,232320 6500 6500
+W 422 169 0 234 421 BEZIER "Transitions" | 147223,260243 159499,254229 180037,242591 192313,236577
+A 423 422 16 TEXT "Actions" | 153948,265428 1 0 0 "txDataOut <= 8'hff;\ntxDataWen <= 1'b1;\ntimeOutCnt <= timeOutCnt + 1'b1;\nrxDataRdyClr <= 1'b1;"
+W 424 169 0 421 237 BEZIER "Transitions" | 190754,232933 178974,233801 160757,232683 148977,233551
+A 425 424 16 TEXT "Actions" | 157420,238644 1 0 0 "txDataWen <= 1'b0;\nrxDataRdyClr <= 1'b0;"
+L 167 168 0 TEXT "State Labels" | 111928,143426 1 0 0 "CMD"
+S 168 6 73732 ELLIPSE 0,0,0 0 0 1 0,255,255 1 | 111928,143426 6500 6500
+H 169 168 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28668,29070 213168,277070
+S 176 169 65536 ELLIPSE "States" | 60614,226551 6500 6500
+A 177 176 4 TEXT "Actions" | 78632,227097 1 0 0 "txDataWen <= 1'b0;"
+W 178 169 0 182 176 BEZIER "Transitions" | 85317,242783 68957,244509 60312,236489 60462,233017
+L 181 176 0 TEXT "State Labels" | 60614,226551 1 0 0 "CMD_BYTE_ST\n/16/"
+S 182 169 8192 ELLIPSE "States" | 91419,245022 6500 6500
+L 183 182 0 TEXT "State Labels" | 91419,245558 1 0 0 "SEND_FF_FIN\n/2/"
+I 184 169 0 Builtin Exit | 133914,177514
+I 185 169 0 Builtin Entry | 41073,271359
+L 193 194 0 TEXT "State Labels" | 61318,198350 1 0 0 "CMD_BYTE_FIN\n/3/"
+S 194 169 12288 ELLIPSE "States" | 61318,198350 6500 6500
+W 197 169 0 176 194 BEZIER "Transitions" | 60038,220079 60241,216895 60646,208095 60689,204810
+C 200 197 0 TEXT "Conditions" | 62427,219576 1 0 0 "txDataFull == 1'b0"
+A 201 197 16 TEXT "Actions" | 62131,214610 1 0 0 "txDataOut <= cmdByte;\ntxDataWen <= 1'b1;"
+W 202 169 0 242 205 BEZIER "Transitions" | 65275,171028 65478,167844 67531,155583 67557,152187
+A 203 202 16 TEXT "Actions" | 55728,165182 1 0 0 "txDataOut <= dataByte1;\ntxDataWen <= 1'b1;"
+C 204 202 0 TEXT "Conditions" | 67553,171135 1 0 0 "txDataFull == 1'b0"
+S 205 169 16384 ELLIPSE "States" | 67858,145716 6500 6500
+L 206 205 0 TEXT "State Labels" | 67858,145716 1 0 0 "D_BYTE1_FIN\n/4/"
+W 222 169 0 247 225 BEZIER "Transitions" | 52145,109346 52666,106042 53123,98876 53547,95545
+A 223 222 16 TEXT "Actions" | 54540,105067 1 0 0 "txDataOut <= dataByte2;\ntxDataWen <= 1'b1;"
+C 224 222 0 TEXT "Conditions" | 54606,109737 1 0 0 "txDataFull == 1'b0"
+S 225 169 0 ELLIPSE "States" | 54296,89091 6500 6500
+L 226 225 0 TEXT "State Labels" | 54296,89091 1 0 0 "D_BYTE2_FIN\n/0/"
+L 233 234 0 TEXT "State Labels" | 141088,262390 1 0 0 "REQ_RESP_ST\n/5/"
+S 234 169 20480 ELLIPSE "States" | 141088,262390 6500 6500
+L 236 237 0 TEXT "State Labels" | 142710,231828 1 0 0 "REQ_RESP_FIN\n/6/"
+S 237 169 24576 ELLIPSE "States" | 142710,231828 6500 6500
+L 238 239 0 TEXT "State Labels" | 145411,207811 1 0 0 "CHK_RESP\n/7/"
+S 239 169 28672 ELLIPSE "States" | 145411,207811 6500 6500
+C 255 253 0 TEXT "Conditions" | 150412,229033 1 0 0 "rxDataRdy == 1'b1"
+W 253 169 0 237 239 BEZIER "Transitions" | 143448,225372 143908,221460 144298,218142 144670,214266
+S 247 169 4096 ELLIPSE "States" | 51517,115810 6500 6500
+L 246 247 0 TEXT "State Labels" | 51517,115810 1 0 0 "D_BYTE2_ST\n/1/"
+W 245 169 0 194 242 BEZIER "Transitions" | 62217,191914 62763,188911 63074,186881 63620,183878
+A 243 205 4 TEXT "Actions" | 77303,148032 1 0 0 "txDataWen <= 1'b0;"
+S 242 169 32768 ELLIPSE "States" | 64894,177508 6500 6500
+L 241 242 0 TEXT "State Labels" | 64894,177508 1 0 0 "D_BYTE1_ST\n/8/"
+A 240 194 4 TEXT "Actions" | 71835,200982 1 0 0 "txDataWen <= 1'b0;"
+W 264 169 3 239 234 BEZIER "Transitions" | 140148,211623 134593,217246 123512,226873 120971,232699\
+ 118431,238525 119380,250586 121717,254311 124054,258037\
+ 130485,259851 134685,261273
+C 263 262 0 TEXT "Conditions" | 112592,197061 1 0 0 "respByte[7] == 1'b0"
+W 262 169 2 239 184 BEZIER "Transitions" | 143473,201609 142051,196460 135404,184680 133914,179562
+C 261 260 0 TEXT "Conditions" | 158534,204974 1 0 0 "timeOutCnt == 10'h200"
+W 260 169 1 239 184 BEZIER "Transitions" | 151546,205667 157905,203655 172683,180675 136858,177514
+END
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v b/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v
new file mode 100644
index 000000000..25f68298f
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/sendCmd.v
@@ -0,0 +1,369 @@
+
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// sendCmd.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+//// parameterized dual clock domain fifo.
+//// fifo depth is restricted to 2^ADDR_WIDTH
+//// No protection against over runs and under runs.
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+
+
+module sendCmd (checkSumByte_1, checkSumByte_2, clk, cmdByte_1, cmdByte_2, dataByte1_1, dataByte1_2, dataByte2_1, dataByte2_2, dataByte3_1, dataByte3_2, dataByte4_1, dataByte4_2, respByte, respTout, rst, rxDataIn, rxDataRdy, rxDataRdyClr, sendCmdRdy, sendCmdReq1, sendCmdReq2, txDataEmpty, txDataFull, txDataOut, txDataWen);
+input [7:0]checkSumByte_1;
+input [7:0]checkSumByte_2;
+input clk;
+input [7:0]cmdByte_1;
+input [7:0]cmdByte_2;
+input [7:0]dataByte1_1;
+input [7:0]dataByte1_2;
+input [7:0]dataByte2_1;
+input [7:0]dataByte2_2;
+input [7:0]dataByte3_1;
+input [7:0]dataByte3_2;
+input [7:0]dataByte4_1;
+input [7:0]dataByte4_2;
+input rst;
+input [7:0]rxDataIn;
+input rxDataRdy;
+input sendCmdReq1;
+input sendCmdReq2;
+input txDataEmpty;
+input txDataFull;
+output [7:0]respByte;
+output respTout;
+output rxDataRdyClr;
+output sendCmdRdy;
+output [7:0]txDataOut;
+output txDataWen;
+
+wire [7:0]checkSumByte_1;
+wire [7:0]checkSumByte_2;
+wire clk;
+wire [7:0]cmdByte_1;
+wire [7:0]cmdByte_2;
+wire [7:0]dataByte1_1;
+wire [7:0]dataByte1_2;
+wire [7:0]dataByte2_1;
+wire [7:0]dataByte2_2;
+wire [7:0]dataByte3_1;
+wire [7:0]dataByte3_2;
+wire [7:0]dataByte4_1;
+wire [7:0]dataByte4_2;
+reg [7:0]respByte, next_respByte;
+reg respTout, next_respTout;
+wire rst;
+wire [7:0]rxDataIn;
+wire rxDataRdy;
+reg rxDataRdyClr, next_rxDataRdyClr;
+reg sendCmdRdy, next_sendCmdRdy;
+wire sendCmdReq1;
+wire sendCmdReq2;
+wire txDataEmpty;
+wire txDataFull;
+reg [7:0]txDataOut, next_txDataOut;
+reg txDataWen, next_txDataWen;
+
+// diagram signals declarations
+reg [7:0]checkSumByte, next_checkSumByte;
+reg [7:0]cmdByte, next_cmdByte;
+reg [7:0]dataByte1, next_dataByte1;
+reg [7:0]dataByte2, next_dataByte2;
+reg [7:0]dataByte3, next_dataByte3;
+reg [7:0]dataByte4, next_dataByte4;
+reg sendCmdReq, next_sendCmdReq;
+reg [9:0]timeOutCnt, next_timeOutCnt;
+
+// BINARY ENCODED state machine: sndCmdSt
+// State codes definitions:
+`define CMD_D_BYTE2_FIN 5'b00000
+`define CMD_D_BYTE2_ST 5'b00001
+`define CMD_SEND_FF_FIN 5'b00010
+`define CMD_CMD_BYTE_FIN 5'b00011
+`define CMD_D_BYTE1_FIN 5'b00100
+`define CMD_REQ_RESP_ST 5'b00101
+`define CMD_REQ_RESP_FIN 5'b00110
+`define CMD_CHK_RESP 5'b00111
+`define CMD_D_BYTE1_ST 5'b01000
+`define CMD_D_BYTE3_FIN 5'b01001
+`define CMD_D_BYTE3_ST 5'b01010
+`define CMD_D_BYTE4_FIN 5'b01011
+`define CMD_D_BYTE4_ST 5'b01100
+`define CMD_CS_FIN 5'b01101
+`define CMD_CS_ST 5'b01110
+`define CMD_SEND_FF_ST 5'b01111
+`define CMD_CMD_BYTE_ST 5'b10000
+`define WT_CMD 5'b10001
+`define ST_S_CMD 5'b10010
+`define CMD_DEL 5'b10011
+
+reg [4:0]CurrState_sndCmdSt, NextState_sndCmdSt;
+
+// Diagram actions (continuous assignments allowed only: assign ...)
+// diagram ACTION
+always @(sendCmdReq1 or sendCmdReq2 ) begin
+sendCmdReq <= sendCmdReq1 | sendCmdReq2;
+end
+always @(posedge clk) begin
+cmdByte <= cmdByte_1 | cmdByte_2;
+dataByte1 <= dataByte1_1 | dataByte1_2;
+dataByte2 <= dataByte2_1 | dataByte2_2;
+dataByte3 <= dataByte3_1 | dataByte3_2;
+dataByte4 <= dataByte4_1 | dataByte4_2;
+checkSumByte <= checkSumByte_1 | checkSumByte_2;
+end
+
+
+// Machine: sndCmdSt
+
+// NextState logic (combinatorial)
+always @ (txDataFull or dataByte2 or timeOutCnt or rxDataRdy or rxDataIn or respByte or dataByte1 or dataByte3 or dataByte4 or txDataEmpty or checkSumByte or cmdByte or sendCmdReq or txDataWen or txDataOut or rxDataRdyClr or respTout or sendCmdRdy or CurrState_sndCmdSt)
+begin
+ NextState_sndCmdSt <= CurrState_sndCmdSt;
+ // Set default values for outputs and signals
+ next_txDataWen <= txDataWen;
+ next_txDataOut <= txDataOut;
+ next_timeOutCnt <= timeOutCnt;
+ next_rxDataRdyClr <= rxDataRdyClr;
+ next_respByte <= respByte;
+ next_respTout <= respTout;
+ next_sendCmdRdy <= sendCmdRdy;
+ case (CurrState_sndCmdSt) // synopsys parallel_case full_case
+ `WT_CMD:
+ begin
+ next_sendCmdRdy <= 1'b1;
+ if (sendCmdReq == 1'b1)
+ begin
+ NextState_sndCmdSt <= `CMD_SEND_FF_ST;
+ next_sendCmdRdy <= 1'b0;
+ next_respTout <= 1'b0;
+ end
+ end
+ `ST_S_CMD:
+ begin
+ next_sendCmdRdy <= 1'b0;
+ next_txDataWen <= 1'b0;
+ next_txDataOut <= 8'h00;
+ next_rxDataRdyClr <= 1'b0;
+ next_respByte <= 8'h00;
+ next_respTout <= 1'b0;
+ next_timeOutCnt <= 10'h000;
+ NextState_sndCmdSt <= `WT_CMD;
+ end
+ `CMD_D_BYTE2_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_sndCmdSt <= `CMD_D_BYTE3_ST;
+ end
+ `CMD_D_BYTE2_ST:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_sndCmdSt <= `CMD_D_BYTE2_FIN;
+ next_txDataOut <= dataByte2;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `CMD_SEND_FF_FIN:
+ begin
+ NextState_sndCmdSt <= `CMD_CMD_BYTE_ST;
+ end
+ `CMD_CMD_BYTE_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_sndCmdSt <= `CMD_D_BYTE1_ST;
+ end
+ `CMD_D_BYTE1_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_sndCmdSt <= `CMD_D_BYTE2_ST;
+ end
+ `CMD_REQ_RESP_ST:
+ begin
+ NextState_sndCmdSt <= `CMD_DEL;
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ next_timeOutCnt <= timeOutCnt + 1'b1;
+ next_rxDataRdyClr <= 1'b1;
+ end
+ `CMD_REQ_RESP_FIN:
+ begin
+ if (rxDataRdy == 1'b1)
+ begin
+ NextState_sndCmdSt <= `CMD_CHK_RESP;
+ next_respByte <= rxDataIn;
+ end
+ end
+ `CMD_CHK_RESP:
+ begin
+ if (timeOutCnt == 10'h200)
+ begin
+ NextState_sndCmdSt <= `WT_CMD;
+ next_respTout <= 1'b1;
+ end
+ else if (respByte[7] == 1'b0)
+ begin
+ NextState_sndCmdSt <= `WT_CMD;
+ end
+ else
+ begin
+ NextState_sndCmdSt <= `CMD_REQ_RESP_ST;
+ end
+ end
+ `CMD_D_BYTE1_ST:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_sndCmdSt <= `CMD_D_BYTE1_FIN;
+ next_txDataOut <= dataByte1;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `CMD_D_BYTE3_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_sndCmdSt <= `CMD_D_BYTE4_ST;
+ end
+ `CMD_D_BYTE3_ST:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_sndCmdSt <= `CMD_D_BYTE3_FIN;
+ next_txDataOut <= dataByte3;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `CMD_D_BYTE4_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_sndCmdSt <= `CMD_CS_ST;
+ end
+ `CMD_D_BYTE4_ST:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_sndCmdSt <= `CMD_D_BYTE4_FIN;
+ next_txDataOut <= dataByte4;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `CMD_CS_FIN:
+ begin
+ next_txDataWen <= 1'b0;
+ next_timeOutCnt <= 10'h000;
+ if (txDataEmpty == 1'b1)
+ begin
+ NextState_sndCmdSt <= `CMD_REQ_RESP_ST;
+ end
+ end
+ `CMD_CS_ST:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_sndCmdSt <= `CMD_CS_FIN;
+ next_txDataOut <= checkSumByte;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `CMD_SEND_FF_ST:
+ begin
+ if (txDataFull == 1'b0)
+ begin
+ NextState_sndCmdSt <= `CMD_SEND_FF_FIN;
+ next_txDataOut <= 8'hff;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `CMD_CMD_BYTE_ST:
+ begin
+ next_txDataWen <= 1'b0;
+ if (txDataFull == 1'b0)
+ begin
+ NextState_sndCmdSt <= `CMD_CMD_BYTE_FIN;
+ next_txDataOut <= cmdByte;
+ next_txDataWen <= 1'b1;
+ end
+ end
+ `CMD_DEL:
+ begin
+ NextState_sndCmdSt <= `CMD_REQ_RESP_FIN;
+ next_txDataWen <= 1'b0;
+ next_rxDataRdyClr <= 1'b0;
+ end
+ endcase
+end
+
+// Current State Logic (sequential)
+always @ (posedge clk)
+begin
+ if (rst == 1'b1)
+ CurrState_sndCmdSt <= `ST_S_CMD;
+ else
+ CurrState_sndCmdSt <= NextState_sndCmdSt;
+end
+
+// Registered outputs logic
+always @ (posedge clk)
+begin
+ if (rst == 1'b1)
+ begin
+ txDataWen <= 1'b0;
+ txDataOut <= 8'h00;
+ rxDataRdyClr <= 1'b0;
+ respByte <= 8'h00;
+ respTout <= 1'b0;
+ sendCmdRdy <= 1'b0;
+ timeOutCnt <= 10'h000;
+ end
+ else
+ begin
+ txDataWen <= next_txDataWen;
+ txDataOut <= next_txDataOut;
+ rxDataRdyClr <= next_rxDataRdyClr;
+ respByte <= next_respByte;
+ respTout <= next_respTout;
+ sendCmdRdy <= next_sendCmdRdy;
+ timeOutCnt <= next_timeOutCnt;
+ end
+end
+
+endmodule \ No newline at end of file
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf b/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf
new file mode 100644
index 000000000..a5bdd745e
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.asf
@@ -0,0 +1,141 @@
+VERSION=1.15
+HEADER
+FILE="spiCtrl.asf"
+FID=4788d213
+LANGUAGE=VERILOG
+ENTITY="spiCtrl"
+FRAMES=ON
+FREEOID=187
+"LIBRARIES=//////////////////////////////////////////////////////////////////////\n//// ////\n//// spiCtrl.v ////\n//// ////\n//// This file is part of the spiMaster opencores effort.\n//// <http://www.opencores.org/cores//> ////\n//// ////\n//// Module Description: ////\n//// parameterized dual clock domain fifo. \n//// fifo depth is restricted to 2^ADDR_WIDTH\n//// No protection against over runs and under runs.\n//// \n//// ////\n//// To Do: ////\n//// \n//// ////\n//// Author(s): ////\n//// - Steve Fielding, sfielding@base2designs.com ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//// ////\n//// Copyright (C) 2008 Steve Fielding and OPENCORES.ORG ////\n//// ////\n//// This source file may be used and distributed without ////\n//// restriction provided that this copyright statement is not ////\n//// removed from the file and that any derivative work contains ////\n//// the original copyright notice and the associated disclaimer. ////\n//// ////\n//// This source file is free software; you can redistribute it ////\n//// and/or modify it under the terms of the GNU Lesser General ////\n//// Public License as published by the Free Software Foundation; ////\n//// either version 2.1 of the License, or (at your option) any ////\n//// later version. ////\n//// ////\n//// This source is distributed in the hope that it will be ////\n//// useful, but WITHOUT ANY WARRANTY; without even the implied ////\n//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////\n//// PURPOSE. See the GNU Lesser General Public License for more ////\n//// details. ////\n//// ////\n//// You should have received a copy of the GNU Lesser General ////\n//// Public License along with this source; if not, download it ////\n//// from <http://www.opencores.org/lgpl.shtml> ////\n//// ////\n//////////////////////////////////////////////////////////////////////\n//\n`include \"timescale.v\"\n`include \"spiMaster_defines.v\"\n"
+END
+BUNDLES
+B T "Declarations" 0,0,255 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0
+B T "Conditions" 0,0,0 0 0 0 255,255,255 0 3125 0 0110 1 "Arial" 0
+B F "States" 0,0,0 0 0 1 0,255,0 1 3527 1480 0000 1 "Arial" 0
+B T "Actions" 0,0,0 0 0 1 255,255,255 0 3125 0 0000 1 "Arial" 0
+B T "Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 0
+B L "Transitions" 0,0,0 0 0 1 0,0,0 1 3527 1480 0000 1 "Arial" 0
+B F "Ports" 0,0,0 0 0 1 0,255,255 1 3527 1480 0000 1 "Arial" 0
+B L "Errors" 255,0,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0
+B T "State Labels" 0,0,0 0 0 0 255,255,255 0 3125 0 0000 1 "Arial" 4
+B F "Current State" 255,255,0 0 0 1 255,255,0 1 3527 1480 0000 1 "Arial" 0
+B T "Comments" 157,157,157 0 0 1 255,255,255 0 3527 1480 0000 1 "Arial" 0
+B L "Info" 0,255,0 0 3 1 255,255,255 1 3527 1480 0000 1 "Arial" 0
+END
+INSTHEADER 1
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 5000,5000 10000,10000
+END
+INSTHEADER 99
+PAGE 25400,25400 215900,279400
+UPPERLEFT 0,0
+GRID=OFF
+GRIDSIZE 0,0 10000,10000
+END
+OBJECTS
+G 1 0 0 TEXT 0,0,0 0 0 0 255,255,255 0 3527 1480 0000 1 "Arial" 0 | 110650,276400 1 0 0 "Module: spiCtrl"
+A 5 0 1 TEXT "Actions" | 30673,274317 1 0 0 "-- diagram ACTION"
+F 6 0 512 72 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,232796
+L 7 6 0 TEXT "Labels" | 32486,211363 1 0 0 "spiCtrlSt"
+L 8 9 0 TEXT "Labels" | 166432,268121 1 0 0 "spiTransCtrl"
+I 9 0 2 Builtin InPort | 160432,268121 "" ""
+L 10 11 0 TEXT "Labels" | 164257,264286 1 0 0 "spiTransSts"
+I 11 0 2 Builtin OutPort | 158257,264286 "" ""
+L 14 15 0 TEXT "Labels" | 166314,272204 1 0 0 "spiTransType[1:0]"
+I 15 0 130 Builtin InPort | 160314,272204 "" ""
+S 78 6 0 ELLIPSE "States" | 117132,210174 6500 6500
+L 77 78 0 TEXT "State Labels" | 117132,210174 1 0 0 "ST_S_CTRL\n/0/"
+C 75 70 0 TEXT "Conditions" | 64251,212776 1 0 0 "rst == 1'b1"
+I 74 0 2 Builtin InPort | 195973,268451 "" ""
+L 73 74 0 TEXT "Labels" | 201973,268451 1 0 0 "rst"
+I 72 0 3 Builtin InPort | 195700,272800 "" ""
+L 71 72 0 TEXT "Labels" | 201700,272800 1 0 0 "clk"
+W 70 6 0 69 78 BEZIER "Transitions" | 55625,209356 74109,218718 92075,219949 111473,213370
+I 69 6 0 Builtin Reset | 54670,208387
+I 92 0 2 Builtin InPort | 99404,245269 "" ""
+L 91 92 0 TEXT "Labels" | 105404,245269 1 0 0 "SDInitRdy"
+I 90 0 2 Builtin OutPort | 97222,240694 "" ""
+L 89 90 0 TEXT "Labels" | 103222,240694 1 0 0 "SDInitReq"
+L 95 96 0 TEXT "State Labels" | 61517,181659 1 0 0 "WT_S_CTRL_REQ\n/1/"
+S 96 6 4096 ELLIPSE "States" | 61517,181659 6500 6500
+W 97 6 0 78 96 BEZIER "Transitions" | 111858,206376 102862,186278 81827,184985 67818,183250
+L 98 99 0 TEXT "State Labels" | 61244,155715 1 0 0 "J1"
+S 99 6 8196 ELLIPSE 0,0,0 0 0 1 255,0,0 1 | 61335,155624 3871 3871
+W 100 6 0 96 99 BEZIER "Transitions" | 61562,175246 61630,170469 58461,163807 60148,158618
+C 101 100 0 TEXT "Conditions" | 62422,174791 1 0 0 "spiTransCtrl == `TRANS_START"
+A 102 100 16 TEXT "Actions" | 58411,169566 1 0 0 "spiTransSts <= `TRANS_BUSY;"
+H 103 99 0 RECT 0,0,0 0 0 1 255,255,255 0 | 28400,28400 212900,276400
+I 106 103 0 Builtin Entry | 96520,182880
+I 107 103 0 Builtin Exit | 144780,121920
+W 108 103 0 106 107 BEZIER "Transitions" | 100342,182880 105892,175161 136499,129639 142050,121920
+L 110 111 0 TEXT "State Labels" | 138174,125339 1 0 0 "DIR_ACC\n/3/"
+S 111 6 16384 ELLIPSE "States" | 138174,125339 6500 6500
+W 113 6 0 99 111 BEZIER "Transitions" | 61166,151770 61234,146806 61130,137919 61640,134485\
+ 62150,131051 64054,127243 73166,126223 82278,125203\
+ 114404,125351 131676,125215
+C 115 113 0 TEXT "Conditions" | 67726,131051 1 0 0 "spiTransType == `DIRECT_ACCESS"
+L 120 121 0 TEXT "Labels" | 46442,250017 1 0 0 "txDataWen"
+I 121 0 2 Builtin OutPort | 40442,250017 "" ""
+A 123 113 16 TEXT "Actions" | 94110,126699 1 0 0 "txDataWen <= 1'b1;\nspiCS_n <= 1'b0;"
+A 125 111 4 TEXT "Actions" | 125118,137851 1 0 0 "txDataWen <= 1'b0;"
+L 126 127 0 TEXT "State Labels" | 164014,125067 1 0 0 "WT_FIN1\n/2/"
+S 127 6 12288 ELLIPSE "States" | 164014,125067 6500 6500
+W 128 6 0 111 127 BEZIER "Transitions" | 144619,124502 150739,124502 151363,124352 157533,124582
+W 136 6 0 127 96 BEZIER "Transitions" | 162717,131431 160337,140339 156330,157231 149122,163725\
+ 141914,170219 117842,178379 106656,180181 95470,181983\
+ 78345,181829 68009,181353
+C 137 136 0 TEXT "Conditions" | 156126,140571 1 0 0 "rxDataRdy == 1'b1"
+A 138 136 16 TEXT "Actions" | 144158,151179 1 0 0 "rxDataRdyClr <= 1'b1;\nspiCS_n <= 1'b1;"
+A 139 96 4 TEXT "Actions" | 42430,197963 1 0 0 "rxDataRdyClr <= 1'b0;\nspiTransSts <= `TRANS_NOT_BUSY;"
+L 140 141 0 TEXT "State Labels" | 138990,98683 1 0 0 "INIT\n/4/"
+S 141 6 20480 ELLIPSE "States" | 138990,98683 6500 6500
+W 142 6 0 99 141 BEZIER "Transitions" | 60786,151798 60378,142958 58886,126563 58818,120307\
+ 58750,114051 59294,106707 60280,104225 61266,101743\
+ 64666,99159 74118,98581 83570,98003 115288,98421\
+ 132492,98557
+C 144 142 0 TEXT "Conditions" | 66910,103851 1 0 0 "spiTransType == `INIT_SD"
+A 146 142 16 TEXT "Actions" | 93022,99499 1 0 0 "SDInitReq <= 1'b1;"
+L 147 148 0 TEXT "State Labels" | 163742,99499 1 0 0 "WT_FIN2\n/5/"
+S 148 6 24576 ELLIPSE "States" | 163742,99499 6500 6500
+W 149 6 0 141 148 BEZIER "Transitions" | 145474,99128 150302,99196 152415,99360 157243,99428
+A 150 141 4 TEXT "Actions" | 122126,110651 1 0 0 "SDInitReq <= 1'b0;"
+W 151 6 0 148 96 BEZIER "Transitions" | 168589,103829 172805,107705 180470,114663 182272,121531\
+ 184074,128399 182850,148119 177444,156007 172038,163895\
+ 151638,175727 141812,179059 131986,182391 113082,183887\
+ 103562,183887 94042,183887 77595,182762 68007,182014
+C 152 151 0 TEXT "Conditions" | 162382,115003 1 0 0 "SDInitRdy == 1'b1"
+L 153 154 0 TEXT "State Labels" | 139806,74203 1 0 0 "RW\n/6/"
+S 154 6 28672 ELLIPSE "States" | 139806,74203 6500 6500
+L 155 156 0 TEXT "State Labels" | 165374,73931 1 0 0 "WT_FIN3\n/7/"
+S 156 6 32768 ELLIPSE "States" | 165374,73931 6500 6500
+W 161 6 0 99 154 BEZIER "Transitions" | 60982,151777 60302,133009 58070,97323 58546,87327\
+ 59022,77331 62286,74883 71942,74271 81598,73659\
+ 115628,74049 133308,74049
+W 162 6 0 99 154 BEZIER "Transitions" | 61169,151767 60217,127151 57526,79507 57832,66587\
+ 58138,53667 62998,56357 73205,49463 83413,42570\
+ 122689,61354 133487,72683
+W 163 6 0 154 156 BEZIER "Transitions" | 146246,75076 150598,74736 154569,75047 158921,74707
+C 165 161 0 TEXT "Conditions" | 65006,80459 1 0 0 "spiTransType == `RW_WRITE_SD_BLOCK"
+C 166 162 0 TEXT "Conditions" | 61742,61486 1 0 0 "spiTransType == `RW_READ_SD_BLOCK"
+A 167 161 16 TEXT "Actions" | 64462,75019 1 0 0 "readWriteSDBlockReq <= `WRITE_SD_BLOCK;"
+A 168 162 16 TEXT "Actions" | 60862,54842 1 0 0 "readWriteSDBlockReq <= `READ_SD_BLOCK;"
+A 169 154 4 TEXT "Actions" | 103358,87803 1 0 0 "readWriteSDBlockReq <= `NO_BLOCK_REQ;"
+W 170 6 0 156 96 BEZIER "Transitions" | 171013,77161 178425,82737 192778,92291 196144,105313\
+ 199510,118335 198150,159271 192336,170967 186522,182663\
+ 164626,188511 153678,189531 142730,190551 120834,188783\
+ 109886,187661 98938,186539 78902,183914 67954,182554
+C 171 170 0 TEXT "Conditions" | 168638,86715 1 0 0 "readWriteSDBlockRdy == 1'b1"
+L 172 173 0 TEXT "Labels" | 46441,240515 1 0 0 "rxDataRdyClr"
+I 173 0 2 Builtin OutPort | 40441,240515 "" ""
+L 176 177 0 TEXT "Labels" | 133432,244833 1 0 0 "readWriteSDBlockReq[1:0]"
+I 177 0 130 Builtin OutPort | 127432,244833 "" ""
+L 178 179 0 TEXT "Labels" | 48477,245479 1 0 0 "rxDataRdy"
+I 179 0 2 Builtin InPort | 42477,245479 "" ""
+L 182 183 0 TEXT "Labels" | 135468,240330 1 0 0 "readWriteSDBlockRdy"
+I 183 0 2 Builtin InPort | 129468,240330 "" ""
+A 184 78 4 TEXT "Actions" | 131510,229116 1 0 0 "readWriteSDBlockReq <= `NO_BLOCK_REQ;\ntxDataWen <= 1'b0;\nSDInitReq <= 1'b0;\nrxDataRdyClr <= 1'b0;\nspiTransSts <= `TRANS_NOT_BUSY;\nspiCS_n <= 1'b1;"
+L 185 186 0 TEXT "Labels" | 165711,256531 1 0 0 "spiCS_n"
+I 186 0 2 Builtin OutPort | 159711,256531 "" ""
+END
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v b/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v
new file mode 100644
index 000000000..0f84004aa
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/spiCtrl.v
@@ -0,0 +1,224 @@
+
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// spiCtrl.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+//// parameterized dual clock domain fifo.
+//// fifo depth is restricted to 2^ADDR_WIDTH
+//// No protection against over runs and under runs.
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2008 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+`include "spiMaster_defines.v"
+
+module spiCtrl (clk, readWriteSDBlockRdy, readWriteSDBlockReq, rst, rxDataRdy, rxDataRdyClr, SDInitRdy, SDInitReq, spiCS_n, spiTransCtrl, spiTransSts, spiTransType, txDataWen);
+input clk;
+input readWriteSDBlockRdy;
+input rst;
+input rxDataRdy;
+input SDInitRdy;
+input spiTransCtrl;
+input [1:0]spiTransType;
+output [1:0]readWriteSDBlockReq;
+output rxDataRdyClr;
+output SDInitReq;
+output spiCS_n;
+output spiTransSts;
+output txDataWen;
+
+wire clk;
+wire readWriteSDBlockRdy;
+reg [1:0]readWriteSDBlockReq, next_readWriteSDBlockReq;
+wire rst;
+wire rxDataRdy;
+reg rxDataRdyClr, next_rxDataRdyClr;
+wire SDInitRdy;
+reg SDInitReq, next_SDInitReq;
+reg spiCS_n, next_spiCS_n;
+wire spiTransCtrl;
+reg spiTransSts, next_spiTransSts;
+wire [1:0]spiTransType;
+reg txDataWen, next_txDataWen;
+
+// BINARY ENCODED state machine: spiCtrlSt
+// State codes definitions:
+`define ST_S_CTRL 3'b000
+`define WT_S_CTRL_REQ 3'b001
+`define WT_FIN1 3'b010
+`define DIR_ACC 3'b011
+`define INIT 3'b100
+`define WT_FIN2 3'b101
+`define RW 3'b110
+`define WT_FIN3 3'b111
+
+reg [2:0]CurrState_spiCtrlSt, NextState_spiCtrlSt;
+
+// Diagram actions (continuous assignments allowed only: assign ...)
+// diagram ACTION
+
+
+// Machine: spiCtrlSt
+
+// NextState logic (combinatorial)
+always @ (spiTransCtrl or rxDataRdy or spiTransType or SDInitRdy or readWriteSDBlockRdy or readWriteSDBlockReq or txDataWen or SDInitReq or rxDataRdyClr or spiTransSts or spiCS_n or CurrState_spiCtrlSt)
+begin
+ NextState_spiCtrlSt <= CurrState_spiCtrlSt;
+ // Set default values for outputs and signals
+ next_readWriteSDBlockReq <= readWriteSDBlockReq;
+ next_txDataWen <= txDataWen;
+ next_SDInitReq <= SDInitReq;
+ next_rxDataRdyClr <= rxDataRdyClr;
+ next_spiTransSts <= spiTransSts;
+ next_spiCS_n <= spiCS_n;
+ case (CurrState_spiCtrlSt) // synopsys parallel_case full_case
+ `ST_S_CTRL:
+ begin
+ next_readWriteSDBlockReq <= `NO_BLOCK_REQ;
+ next_txDataWen <= 1'b0;
+ next_SDInitReq <= 1'b0;
+ next_rxDataRdyClr <= 1'b0;
+ next_spiTransSts <= `TRANS_NOT_BUSY;
+ next_spiCS_n <= 1'b1;
+ NextState_spiCtrlSt <= `WT_S_CTRL_REQ;
+ end
+ `WT_S_CTRL_REQ:
+ begin
+ next_rxDataRdyClr <= 1'b0;
+ next_spiTransSts <= `TRANS_NOT_BUSY;
+ if ((spiTransCtrl == `TRANS_START) && (spiTransType == `INIT_SD))
+ begin
+ NextState_spiCtrlSt <= `INIT;
+ next_spiTransSts <= `TRANS_BUSY;
+ next_SDInitReq <= 1'b1;
+ end
+ else if ((spiTransCtrl == `TRANS_START) && (spiTransType == `RW_WRITE_SD_BLOCK))
+ begin
+ NextState_spiCtrlSt <= `RW;
+ next_spiTransSts <= `TRANS_BUSY;
+ next_readWriteSDBlockReq <= `WRITE_SD_BLOCK;
+ end
+ else if ((spiTransCtrl == `TRANS_START) && (spiTransType == `RW_READ_SD_BLOCK))
+ begin
+ NextState_spiCtrlSt <= `RW;
+ next_spiTransSts <= `TRANS_BUSY;
+ next_readWriteSDBlockReq <= `READ_SD_BLOCK;
+ end
+ else if ((spiTransCtrl == `TRANS_START) && (spiTransType == `DIRECT_ACCESS))
+ begin
+ NextState_spiCtrlSt <= `DIR_ACC;
+ next_spiTransSts <= `TRANS_BUSY;
+ next_txDataWen <= 1'b1;
+ next_spiCS_n <= 1'b0;
+ end
+ end
+ `WT_FIN1:
+ begin
+ if (rxDataRdy == 1'b1)
+ begin
+ NextState_spiCtrlSt <= `WT_S_CTRL_REQ;
+ next_rxDataRdyClr <= 1'b1;
+ next_spiCS_n <= 1'b1;
+ end
+ end
+ `DIR_ACC:
+ begin
+ next_txDataWen <= 1'b0;
+ NextState_spiCtrlSt <= `WT_FIN1;
+ end
+ `INIT:
+ begin
+ next_SDInitReq <= 1'b0;
+ NextState_spiCtrlSt <= `WT_FIN2;
+ end
+ `WT_FIN2:
+ begin
+ if (SDInitRdy == 1'b1)
+ begin
+ NextState_spiCtrlSt <= `WT_S_CTRL_REQ;
+ end
+ end
+ `RW:
+ begin
+ next_readWriteSDBlockReq <= `NO_BLOCK_REQ;
+ NextState_spiCtrlSt <= `WT_FIN3;
+ end
+ `WT_FIN3:
+ begin
+ if (readWriteSDBlockRdy == 1'b1)
+ begin
+ NextState_spiCtrlSt <= `WT_S_CTRL_REQ;
+ end
+ end
+ endcase
+end
+
+// Current State Logic (sequential)
+always @ (posedge clk)
+begin
+ if (rst == 1'b1)
+ CurrState_spiCtrlSt <= `ST_S_CTRL;
+ else
+ CurrState_spiCtrlSt <= NextState_spiCtrlSt;
+end
+
+// Registered outputs logic
+always @ (posedge clk)
+begin
+ if (rst == 1'b1)
+ begin
+ readWriteSDBlockReq <= `NO_BLOCK_REQ;
+ txDataWen <= 1'b0;
+ SDInitReq <= 1'b0;
+ rxDataRdyClr <= 1'b0;
+ spiTransSts <= `TRANS_NOT_BUSY;
+ spiCS_n <= 1'b1;
+ end
+ else
+ begin
+ readWriteSDBlockReq <= next_readWriteSDBlockReq;
+ txDataWen <= next_txDataWen;
+ SDInitReq <= next_SDInitReq;
+ rxDataRdyClr <= next_rxDataRdyClr;
+ spiTransSts <= next_spiTransSts;
+ spiCS_n <= next_spiCS_n;
+ end
+end
+
+endmodule \ No newline at end of file
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v b/usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v
new file mode 100644
index 000000000..af844baa9
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/spiMaster.v
@@ -0,0 +1,383 @@
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// spiMaster.v ////
+//// ////
+//// This file is part of the spiMaster opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+//// parameterized dual clock domain fifo.
+//// fifo depth is restricted to 2^ADDR_WIDTH
+//// No protection against over runs and under runs.
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2008 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+`include "spiMaster_defines.v"
+
+module spiMaster(
+ clk_i,
+ rst_i,
+ address_i,
+ data_i,
+ data_o,
+ strobe_i,
+ we_i,
+ ack_o,
+
+ // SPI logic clock
+ spiSysClk,
+
+ //SPI bus
+ spiClkOut,
+ spiDataIn,
+ spiDataOut,
+ spiCS_n
+);
+
+//Wishbone bus
+input clk_i;
+input rst_i;
+input [7:0] address_i;
+input [7:0] data_i;
+output [7:0] data_o;
+input strobe_i;
+input we_i;
+output ack_o;
+
+// SPI logic clock
+input spiSysClk;
+
+//SPI bus
+output spiClkOut;
+input spiDataIn;
+output spiDataOut;
+output spiCS_n;
+
+// local wires and regs
+wire spiSysClk;
+wire [7:0] spiClkDelayFromInitSD;
+wire rstSyncToSpiClk;
+wire [7:0] rxDataFromRWSPIWireData;
+wire rxDataRdySetFromRWSPIWireData;
+wire txDataFullFromSpiTxRxData;
+wire txDataFullClrFromRWSPIWireData;
+wire [7:0] txDataToRWSPIWireData;
+wire rxDataRdyClrFromRWSDBlock;
+wire rxDataRdyClrFromSendCmd;
+wire [7:0] rxDataFromSpiTxRxData;
+wire rxDataRdy;
+wire [7:0] txDataFromRWSDBlock;
+wire txDataWenFromRWSDBlock;
+wire [7:0] txDataFromSendCmd;
+wire txDataWenFromSendCmd;
+wire [7:0] txDataFromInitSD;
+wire txDataWenFromInitSD;
+wire [7:0] dataFromCtrlStsReg;
+wire [7:0] dataFromTxFifo;
+wire [7:0] dataFromRxFifo;
+wire [1:0] spiTransType;
+wire [7:0] spiDirectAccessTxData;
+wire [1:0] readWriteSDBlockReq;
+wire [1:0] SDWriteError;
+wire [1:0] SDReadError;
+wire [1:0] SDInitError;
+wire [7:0] cmdByteFromInitSD;
+wire [7:0] dataByte1FromInitSD;
+wire [7:0] dataByte2FromInitSD;
+wire [7:0] dataByte3FromInitSD;
+wire [7:0] dataByte4FromInitSD;
+wire [7:0] checkSumByteFromInitSD;
+wire [7:0] sendCmdRespByte;
+wire [7:0] cmdByteFromRWSDBlock;
+wire [7:0] dataByte1FromRWSDBlock;
+wire [7:0] dataByte2FromRWSDBlock;
+wire [7:0] dataByte3FromRWSDBlock;
+wire [7:0] dataByte4FromRWSDBlock;
+wire [7:0] checkSumByteFromRWSDBlock;
+wire [7:0] txFifoDataOut;
+wire [7:0] rxFifoDataIn;
+wire [31:0] SDAddr;
+wire [7:0] spiClkDelayFromCtrlStsReg;
+wire spiCS_nFromInitSD;
+wire spiCS_nFromRWSDBlock;
+wire spiCS_nFromSpiCtrl;
+
+
+assign spiCS_n = spiCS_nFromInitSD & spiCS_nFromRWSDBlock & spiCS_nFromSpiCtrl;
+
+// -----------------------------------
+// Instance of Module: wishBoneBI
+// -----------------------------------
+wishBoneBI u_wishBoneBI(
+ .ack_o( ack_o ),
+ .address( address_i ),
+ .clk( clk_i ),
+ .ctrlStsRegSel( ctrlStsRegSel ),
+ .dataFromCtrlStsReg( dataFromCtrlStsReg ),
+ .dataFromRxFifo( dataFromRxFifo ),
+ .dataFromTxFifo( dataFromTxFifo ),
+ .dataIn( data_i ),
+ .dataOut( data_o ),
+ .rst( rst_i ),
+ .rxFifoSel( rxFifoSel ),
+ .strobe_i( strobe_i ),
+ .txFifoSel( txFifoSel ),
+ .writeEn( we_i )
+ );
+
+// -----------------------------------
+// Instance of Module: ctrlStsRegBI
+// -----------------------------------
+ctrlStsRegBI u_ctrlStsRegBI(
+ .busClk( clk_i ),
+ .spiSysClk( spiSysClk ),
+ .rstSyncToBusClkOut( rstSyncToBusClk ),
+ .rstSyncToSpiClkOut( rstSyncToSpiClk ),
+ .rstFromWire( rst_i ),
+ .address( address_i ),
+ .strobe_i( strobe_i ),
+ .dataIn( data_i ),
+ .dataOut( dataFromCtrlStsReg ),
+ .ctrlStsRegSel( ctrlStsRegSel ),
+ .spiTransType( spiTransType ),
+ .spiTransCtrl( spiTransCtrl ),
+ .spiTransStatus( spiTransSts ),
+ .spiDirectAccessTxData(spiDirectAccessTxData),
+ .spiDirectAccessRxData(rxDataFromSpiTxRxData),
+ .writeEn( we_i ),
+ .SDWriteError( SDWriteError ),
+ .SDReadError( SDReadError ),
+ .SDInitError( SDInitError ),
+ .SDAddr( SDAddr ),
+ .spiClkDelay( spiClkDelayFromCtrlStsReg)
+ );
+
+// -----------------------------------
+// Instance of Module: spiCtrl
+// -----------------------------------
+spiCtrl u_spiCtrl(
+ .clk( spiSysClk ),
+ .rst( rstSyncToSpiClk ),
+ .SDInitReq( SDInitReq ),
+ .SDInitRdy( SDInitRdy ),
+ .readWriteSDBlockReq( readWriteSDBlockReq ),
+ .readWriteSDBlockRdy( readWriteSDBlockRdy ),
+ .rxDataRdy( rxDataRdyFromSpiTxRxData),
+ .rxDataRdyClr( rxDataRdyClrFromSpiCtrl),
+ .spiTransType( spiTransType ),
+ .spiTransCtrl( spiTransCtrl ),
+ .spiTransSts( spiTransSts ),
+ .txDataWen( txDataWenFromSpiCtrl ),
+ .spiCS_n( spiCS_nFromSpiCtrl )
+ );
+
+
+// -----------------------------------
+// Instance of Module: initSD
+// -----------------------------------
+initSD u_initSD(
+ .clk( spiSysClk ),
+ .rst( rstSyncToSpiClk ),
+ .SDInitReq( SDInitReq ),
+ .SDInitRdy( SDInitRdy ),
+ .initError( SDInitError ),
+ .sendCmdReq( sendCmdReqFromInitSD ),
+ .sendCmdRdy( sendCmdRdy ),
+ .cmdByte( cmdByteFromInitSD ),
+ .dataByte1( dataByte1FromInitSD ),
+ .dataByte2( dataByte2FromInitSD ),
+ .dataByte3( dataByte3FromInitSD ),
+ .dataByte4( dataByte4FromInitSD ),
+ .checkSumByte( checkSumByteFromInitSD),
+ .respByte( sendCmdRespByte ),
+ .respTout( sendCmdRespTout ),
+ .spiCS_n( spiCS_nFromInitSD ),
+ .spiClkDelayOut( spiClkDelayFromInitSD ),
+ .spiClkDelayIn( spiClkDelayFromCtrlStsReg),
+ .txDataFull( txDataFullFromSpiTxRxData),
+ .txDataEmpty( txDataEmptyFromRWSPIWireData),
+ .txDataOut( txDataFromInitSD ),
+ .txDataWen( txDataWenFromInitSD ),
+ .rxDataRdy( rxDataRdyFromSpiTxRxData),
+ .rxDataRdyClr( rxDataRdyClrFromInitSD)
+ );
+
+// -----------------------------------
+// Instance of Module: readWriteSDBlock
+// -----------------------------------
+readWriteSDBlock u_readWriteSDBlock(
+ .clk( spiSysClk ),
+ .rst( rstSyncToSpiClk ),
+ .readWriteSDBlockReq( readWriteSDBlockReq ),
+ .readWriteSDBlockRdy( readWriteSDBlockRdy ),
+ .cmdByte( cmdByteFromRWSDBlock ),
+ .dataByte1( dataByte1FromRWSDBlock),
+ .dataByte2( dataByte2FromRWSDBlock),
+ .dataByte3( dataByte3FromRWSDBlock),
+ .dataByte4( dataByte4FromRWSDBlock),
+ .checkSumByte( checkSumByteFromRWSDBlock),
+ .readError( SDReadError ),
+ .respByte( sendCmdRespByte ),
+ .respTout( sendCmdRespTout ),
+ .rxDataIn( rxDataFromSpiTxRxData ),
+ .rxDataRdy( rxDataRdyFromSpiTxRxData),
+ .rxDataRdyClr( rxDataRdyClrFromRWSDBlock),
+ .sendCmdRdy( sendCmdRdy ),
+ .sendCmdReq( sendCmdReqFromRWSDBlock),
+ .spiCS_n( spiCS_nFromRWSDBlock ),
+ .txDataFull( txDataFullFromSpiTxRxData),
+ .txDataEmpty( txDataEmptyFromRWSPIWireData),
+ .txDataOut( txDataFromRWSDBlock ),
+ .txDataWen( txDataWenFromRWSDBlock),
+ .txFifoData( txFifoDataOut ),
+ .txFifoRen( txFifoRE ),
+ .rxFifoData( rxFifoDataIn ),
+ .rxFifoWen( rRxFifoWE ),
+ .writeError( SDWriteError ),
+ .blockAddr( SDAddr )
+
+ );
+
+// -----------------------------------
+// Instance of Module: sendCmd
+// -----------------------------------
+sendCmd u_sendCmd(
+ .clk( spiSysClk ),
+ .rst( rstSyncToSpiClk ),
+ .sendCmdReq1( sendCmdReqFromInitSD ),
+ .sendCmdReq2( sendCmdReqFromRWSDBlock),
+ .sendCmdRdy( sendCmdRdy ),
+ .cmdByte_1( cmdByteFromInitSD ),
+ .cmdByte_2( cmdByteFromRWSDBlock ),
+ .dataByte1_1( dataByte1FromInitSD ),
+ .dataByte1_2( dataByte1FromRWSDBlock),
+ .dataByte2_1( dataByte2FromInitSD ),
+ .dataByte2_2( dataByte2FromRWSDBlock),
+ .dataByte3_1( dataByte3FromInitSD ),
+ .dataByte3_2( dataByte3FromRWSDBlock),
+ .dataByte4_1( dataByte4FromInitSD ),
+ .dataByte4_2( dataByte4FromRWSDBlock),
+ .checkSumByte_1( checkSumByteFromInitSD),
+ .checkSumByte_2( checkSumByteFromRWSDBlock),
+ .respByte( sendCmdRespByte ),
+ .respTout( sendCmdRespTout ),
+ .rxDataIn( rxDataFromSpiTxRxData ),
+ .rxDataRdy( rxDataRdyFromSpiTxRxData),
+ .rxDataRdyClr( rxDataRdyClrFromSendCmd),
+ .txDataFull( txDataFullFromSpiTxRxData),
+ .txDataEmpty( txDataEmptyFromRWSPIWireData),
+ .txDataOut( txDataFromSendCmd ),
+ .txDataWen( txDataWenFromSendCmd )
+ );
+
+// -----------------------------------
+// Instance of Module: spiTxRxData
+// -----------------------------------
+spiTxRxData u_spiTxRxData(
+ .clk( spiSysClk ),
+ .rst( rstSyncToSpiClk ),
+ .rx1DataRdyClr( rxDataRdyClrFromRWSDBlock),
+ .rx2DataRdyClr( rxDataRdyClrFromSendCmd),
+ .rx3DataRdyClr( rxDataRdyClrFromInitSD),
+ .rx4DataRdyClr( rxDataRdyClrFromSpiCtrl),
+ .rxDataIn( rxDataFromRWSPIWireData),
+ .rxDataOut( rxDataFromSpiTxRxData ),
+ .rxDataRdy( rxDataRdyFromSpiTxRxData),
+ .rxDataRdySet( rxDataRdySetFromRWSPIWireData),
+ .tx1DataIn( txDataFromRWSDBlock ),
+ .tx1DataWEn( txDataWenFromRWSDBlock),
+ .tx2DataIn( txDataFromSendCmd ),
+ .tx2DataWEn( txDataWenFromSendCmd ),
+ .tx3DataIn( txDataFromInitSD ),
+ .tx3DataWEn( txDataWenFromInitSD ),
+ .tx4DataIn( spiDirectAccessTxData ),
+ .tx4DataWEn( txDataWenFromSpiCtrl ),
+ .txDataFull( txDataFullFromSpiTxRxData),
+ .txDataFullClr( txDataFullClrFromRWSPIWireData),
+ .txDataOut( txDataToRWSPIWireData )
+ );
+
+// -----------------------------------
+// Instance of Module: readWriteSPIWireData
+// -----------------------------------
+readWriteSPIWireData u_readWriteSPIWireData(
+ .clk( spiSysClk ),
+ .clkDelay( spiClkDelayFromInitSD ),
+ .rst( rstSyncToSpiClk ),
+ .rxDataOut( rxDataFromRWSPIWireData),
+ .rxDataRdySet( rxDataRdySetFromRWSPIWireData),
+ .spiClkOut( spiClkOut ),
+ .spiDataIn( spiDataIn ),
+ .spiDataOut( spiDataOut ),
+ .txDataFull( txDataFullFromSpiTxRxData),
+ .txDataFullClr( txDataFullClrFromRWSPIWireData),
+ .txDataIn( txDataToRWSPIWireData ),
+ .txDataEmpty( txDataEmptyFromRWSPIWireData)
+ );
+
+TxFifo #(`TX_FIFO_DEPTH, `TX_FIFO_ADDR_WIDTH) u_txFifo (
+ .spiSysClk(spiSysClk),
+ .busClk(clk_i),
+ .rstSyncToBusClk(rstSyncToBusClk),
+ .rstSyncToSpiClk(rstSyncToSpiClk),
+ .fifoREn(txFifoRE),
+ .fifoEmpty(hostTxFifoEmpty),
+ .busAddress(address_i[2:0]),
+ .busWriteEn(we_i),
+ .busStrobe_i(strobe_i),
+ .busFifoSelect(txFifoSel),
+ .busDataIn(data_i),
+ .busDataOut(dataFromTxFifo),
+ .fifoDataOut(txFifoDataOut) );
+
+
+RxFifo #(`RX_FIFO_DEPTH, `RX_FIFO_ADDR_WIDTH) u_rxFifo(
+ .spiSysClk(spiSysClk),
+ .busClk(clk_i),
+ .rstSyncToBusClk(rstSyncToBusClk),
+ .rstSyncToSpiClk(rstSyncToSpiClk),
+ .fifoWEn(rRxFifoWE),
+ .fifoFull(hostRxFifoFull),
+ .busAddress(address_i[2:0]),
+ .busWriteEn(we_i),
+ .busStrobe_i(strobe_i),
+ .busFifoSelect(rxFifoSel),
+ .busDataIn(data_i),
+ .busDataOut(dataFromRxFifo),
+ .fifoDataIn(rxFifoDataIn) );
+
+endmodule
+
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/spiMaster_defines.v b/usrp2/fpga/opencores/sd_interface/RTL/spiMaster_defines.v
new file mode 100644
index 000000000..1e219f676
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/spiMaster_defines.v
@@ -0,0 +1,125 @@
+// ------------------------ spiMaster_defines.v ----------------------
+`define SPI_MASTER_VERSION_NUM 8'h00
+`define SPI_SYS_CLK_48MHZ
+//`define SPI_SYS_CLK_30MHZ
+
+//memoryMap
+`define CTRL_STS_REG_BASE 8'h00
+`define RX_FIFO_BASE 8'h10
+`define TX_FIFO_BASE 8'h20
+`define ADDRESS_DECODE_MASK 8'hf0
+`define SPI_MASTER_VERSION_REG 8'h00
+`define SPI_MASTER_CONTROL_REG 8'h01
+`define TRANS_TYPE_REG 8'h02
+`define TRANS_CTRL_REG 8'h03
+`define TRANS_STS_REG 8'h04
+`define TRANS_ERROR_REG 8'h05
+`define DIRECT_ACCESS_DATA_REG 8'h06
+`define SD_ADDR_7_0_REG 8'h07
+`define SD_ADDR_15_8_REG 8'h08
+`define SD_ADDR_23_16_REG 8'h09
+`define SD_ADDR_31_24_REG 8'h0a
+`define SPI_CLK_DEL_REG 8'h0b
+
+
+//FifoAddresses
+`define FIFO_DATA_REG 3'b000
+`define FIFO_STATUS_REG 3'b001
+`define FIFO_DATA_COUNT_MSB 3'b010
+`define FIFO_DATA_COUNT_LSB 3'b011
+`define FIFO_CONTROL_REG 3'b100
+
+
+`ifdef SIM_COMPILE
+`define SLOW_SPI_CLK 8'h2
+`define FAST_SPI_CLK 8'h00
+`define TWO_MS 10'h001
+`define TWO_FIFTY_MS 12'h001
+`define ONE_HUNDRED_MS 12'h00c
+`else //not SIM_COMPILE
+
+`ifdef SPI_SYS_CLK_48MHZ
+
+// --------------- spiSysClk = 48MHz
+// if you change the clock frequency you will need to change these constants
+
+// SLOW_SPI_CLK controls the SPI clock at start up.
+// should be aiming for 400KHz
+// SLOW_SPI_CLK = (spiSysClk / (400KHz * 2)) - 1
+`define SLOW_SPI_CLK 8'h3b
+// controls the SPI clock after init is complete.
+// should be aiming for 24MHz ?
+// controls the SPI clock after init is complete.
+// should be aiming for 24MHz ?
+// if spiSysClk >= 48MHz
+// FAST_SPI_CLK = (spiSysClk / (24MHz * 2)) - 1
+// else FAST_SPI_CLK = 0
+`define FAST_SPI_CLK 8'h00
+// TWO_MS = ((2mS * spiSysClk) / 256) - 1
+`define TWO_MS 10'h177
+// TWO_FIFTY_MS = ((250mS * spiSysClk) / 65536) - 1
+`define TWO_FIFTY_MS 12'h0b6
+// ONE_HUNDRED_MS = ((100mS * spiSysClk) / 65536) - 1
+`define ONE_HUNDRED_MS 12'h048
+
+`else //not SPI_SYS_CLK_48MHZ
+
+`ifdef SPI_SYS_CLK_30MHZ
+// --------------- spiSysClk = 30MHz
+`define SLOW_SPI_CLK 8'h24
+`define FAST_SPI_CLK 8'h00
+`define TWO_MS 10'h0e9
+`define TWO_FIFTY_MS 12'h071
+`define ONE_HUNDRED_MS 12'h02c
+
+`endif //SPI_SYS_CLK_30MHZ
+`endif //SPI_SYS_CLK_48MHZ
+`endif //SIM_COMPILE
+
+
+
+`ifdef SIM_COMPILE
+`define SD_INIT_START_SEQ_LEN 8'h03
+`define MAX_8_BIT 8'h08
+`else
+`define SD_INIT_START_SEQ_LEN 8'ha0
+`define MAX_8_BIT 8'hff
+`endif
+
+
+`define WR_RESP_TOUT 12'hf00
+
+`define NO_BLOCK_REQ 2'b00
+`define WRITE_SD_BLOCK 2'b01
+`define READ_SD_BLOCK 2'b10
+
+`define READ_NO_ERROR 2'b00
+`define READ_CMD_ERROR 2'b01
+`define READ_TOKEN_ERROR 2'b10
+
+`define WRITE_NO_ERROR 2'b00
+`define WRITE_CMD_ERROR 2'b01
+`define WRITE_DATA_ERROR 2'b10
+`define WRITE_BUSY_ERROR 2'b11
+
+
+`define TRANS_NOT_BUSY 1'b0
+`define TRANS_BUSY 1'b1
+
+`define TRANS_START 1'b1
+`define TRANS_STOP 1'b0
+
+`define DIRECT_ACCESS 2'b00
+`define INIT_SD 2'b01
+`define RW_READ_SD_BLOCK 2'b10
+`define RW_WRITE_SD_BLOCK 2'b11
+
+`define INIT_NO_ERROR 2'b00
+`define INIT_CMD0_ERROR 2'b01
+`define INIT_CMD1_ERROR 2'b10
+
+`define TX_FIFO_DEPTH 512
+`define TX_FIFO_ADDR_WIDTH 9
+`define RX_FIFO_DEPTH 512
+`define RX_FIFO_ADDR_WIDTH 9
+
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/spiTxRxData.v b/usrp2/fpga/opencores/sd_interface/RTL/spiTxRxData.v
new file mode 100644
index 000000000..2b5870c2f
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/spiTxRxData.v
@@ -0,0 +1,108 @@
+`include "timescale.v"
+
+module spiTxRxData (
+ clk,
+ rst,
+
+ tx1DataIn,
+ tx2DataIn,
+ tx3DataIn,
+ tx4DataIn,
+ tx1DataWEn,
+ tx2DataWEn,
+ tx3DataWEn,
+ tx4DataWEn,
+
+ txDataOut,
+ txDataFull,
+ txDataFullClr,
+
+ rx1DataRdyClr,
+ rx2DataRdyClr,
+ rx3DataRdyClr,
+ rx4DataRdyClr,
+
+ rxDataIn,
+ rxDataOut,
+ rxDataRdy,
+ rxDataRdySet
+);
+
+input clk;
+input rst;
+
+input [7:0] tx1DataIn;
+input [7:0] tx2DataIn;
+input [7:0] tx3DataIn;
+input [7:0] tx4DataIn;
+input tx1DataWEn;
+input tx2DataWEn;
+input tx3DataWEn;
+input tx4DataWEn;
+
+output [7:0] txDataOut;
+reg [7:0] txDataOut;
+output txDataFull;
+reg txDataFull;
+input txDataFullClr;
+
+input rx1DataRdyClr;
+input rx2DataRdyClr;
+input rx3DataRdyClr;
+input rx4DataRdyClr;
+
+input [7:0] rxDataIn;
+output [7:0] rxDataOut;
+reg [7:0] rxDataOut;
+output rxDataRdy;
+reg rxDataRdy;
+input rxDataRdySet;
+
+
+// --- Transmit control
+always @(posedge clk) begin
+ if (rst == 1'b1) begin
+ txDataOut <= 8'h00;
+ txDataFull <= 1'b0;
+ end
+ else begin
+ if (tx1DataWEn == 1'b1) begin
+ txDataOut <= tx1DataIn;
+ txDataFull <= 1'b1;
+ end
+ else if (tx2DataWEn == 1'b1) begin
+ txDataOut <= tx2DataIn;
+ txDataFull <= 1'b1;
+ end
+ else if (tx3DataWEn == 1'b1) begin
+ txDataOut <= tx3DataIn;
+ txDataFull <= 1'b1;
+ end
+ else if (tx4DataWEn == 1'b1) begin
+ txDataOut <= tx4DataIn;
+ txDataFull <= 1'b1;
+ end
+ if (txDataFullClr == 1'b1)
+ txDataFull <= 1'b0;
+ end
+end
+
+// --- Receive control
+always @(posedge clk) begin
+ if (rst == 1'b1) begin
+ rxDataOut <= 8'h00;
+ rxDataRdy <= 1'b0;
+ end
+ else begin
+ if (rx1DataRdyClr == 1'b1 || rx2DataRdyClr == 1'b1 || rx3DataRdyClr == 1'b1 || rx4DataRdyClr == 1'b1) begin
+ rxDataRdy <= 1'b0;
+ end
+ if (rxDataRdySet == 1'b1) begin
+ rxDataRdy <= 1'b1;
+ rxDataOut <= rxDataIn;
+ end
+ end
+end
+
+endmodule
+
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/timescale.v b/usrp2/fpga/opencores/sd_interface/RTL/timescale.v
new file mode 100644
index 000000000..571f4a063
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/timescale.v
@@ -0,0 +1,5 @@
+//////////////////////////////////////////////////////////////////////
+// timescale.v
+//////////////////////////////////////////////////////////////////////
+`timescale 1ns / 1ps
+
diff --git a/usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v b/usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v
new file mode 100644
index 000000000..72daaa483
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/RTL/wishBoneBI.v
@@ -0,0 +1,145 @@
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// wishBoneBI.v ////
+//// ////
+//// This file is part of the usbhostslave opencores effort.
+//// <http://www.opencores.org/cores//> ////
+//// ////
+//// Module Description: ////
+////
+//// ////
+//// To Do: ////
+////
+//// ////
+//// Author(s): ////
+//// - Steve Fielding, sfielding@base2designs.com ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from <http://www.opencores.org/lgpl.shtml> ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//
+`include "timescale.v"
+`include "spiMaster_defines.v"
+
+
+module wishBoneBI (
+ clk, rst,
+ address, dataIn, dataOut, writeEn,
+ strobe_i,
+ ack_o,
+ ctrlStsRegSel,
+ rxFifoSel, txFifoSel,
+ dataFromCtrlStsReg,
+ dataFromRxFifo,
+ dataFromTxFifo
+ );
+input clk;
+input rst;
+input [7:0] address;
+input [7:0] dataIn;
+output [7:0] dataOut;
+input strobe_i;
+output ack_o;
+input writeEn;
+output ctrlStsRegSel;
+output rxFifoSel;
+output txFifoSel;
+input [7:0] dataFromCtrlStsReg;
+input [7:0] dataFromRxFifo;
+input [7:0] dataFromTxFifo;
+
+
+wire clk;
+wire rst;
+wire [7:0] address;
+wire [7:0] dataIn;
+reg [7:0] dataOut;
+wire writeEn;
+wire strobe_i;
+reg ack_o;
+reg ctrlStsRegSel;
+reg rxFifoSel;
+reg txFifoSel;
+wire [7:0] dataFromCtrlStsReg;
+wire [7:0] dataFromRxFifo;
+wire [7:0] dataFromTxFifo;
+
+//internal wires and regs
+reg ack_delayed;
+reg ack_immediate;
+
+//address decode and data mux
+always @(address or
+ dataFromCtrlStsReg or
+ dataFromRxFifo or
+ dataFromTxFifo)
+begin
+ ctrlStsRegSel <= 1'b0;
+ rxFifoSel <= 1'b0;
+ txFifoSel <= 1'b0;
+ case (address & `ADDRESS_DECODE_MASK)
+ `CTRL_STS_REG_BASE : begin
+ ctrlStsRegSel <= 1'b1;
+ dataOut <= dataFromCtrlStsReg;
+ end
+ `RX_FIFO_BASE : begin
+ rxFifoSel <= 1'b1;
+ dataOut <= dataFromRxFifo;
+ end
+ `TX_FIFO_BASE : begin
+ txFifoSel <= 1'b1;
+ dataOut <= dataFromTxFifo;
+ end
+ default:
+ dataOut <= 8'h00;
+ endcase
+end
+
+//delayed ack
+always @(posedge clk) begin
+ ack_delayed <= strobe_i;
+end
+
+//immediate ack
+always @(strobe_i) begin
+ ack_immediate <= strobe_i;
+end
+
+//select between immediate and delayed ack
+always @(writeEn or address or ack_delayed or ack_immediate) begin
+ if (writeEn == 1'b0 &&
+ (address == `RX_FIFO_BASE + `FIFO_DATA_REG ||
+ address == `TX_FIFO_BASE + `FIFO_DATA_REG) )
+ begin
+ ack_o <= ack_delayed;
+ end
+ else
+ begin
+ ack_o <= ack_immediate;
+ end
+end
+
+endmodule
diff --git a/usrp2/fpga/opencores/sd_interface/bench/testCase0.v b/usrp2/fpga/opencores/sd_interface/bench/testCase0.v
new file mode 100644
index 000000000..09e4bc0fb
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/bench/testCase0.v
@@ -0,0 +1,126 @@
+// ---------------------------------- testcase0.v ----------------------------
+`include "timescale.v"
+`include "spiMaster_defines.v"
+
+module testCase0();
+
+reg ack;
+reg [7:0] data;
+reg [15:0] dataWord;
+reg [7:0] dataRead;
+reg [7:0] dataWrite;
+integer i;
+integer j;
+
+initial
+begin
+ $write("\n\n");
+ //testHarness.reset;
+ #1000;
+
+ //write to block addr reg, and read back
+ //testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_TYPE_REG , 8'h5a);
+ $write("Testing register read/write\n");
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`SPI_CLK_DEL_REG , 8'h10);
+ testHarness.u_wb_master_model.wb_cmp(1, `CTRL_STS_REG_BASE+`SPI_CLK_DEL_REG , 8'h10);
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`SD_ADDR_7_0_REG , 8'h78);
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`SD_ADDR_15_8_REG , 8'h56);
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`SD_ADDR_23_16_REG , 8'h34);
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`SD_ADDR_31_24_REG , 8'h12);
+ testHarness.u_wb_master_model.wb_cmp(1, `CTRL_STS_REG_BASE+`SD_ADDR_7_0_REG , 8'h78);
+ testHarness.u_wb_master_model.wb_cmp(1, `CTRL_STS_REG_BASE+`SD_ADDR_15_8_REG , 8'h56);
+ testHarness.u_wb_master_model.wb_cmp(1, `CTRL_STS_REG_BASE+`SD_ADDR_23_16_REG , 8'h34);
+ testHarness.u_wb_master_model.wb_cmp(1, `CTRL_STS_REG_BASE+`SD_ADDR_31_24_REG , 8'h12);
+
+ //write one byte to spi bus, and wait for complete
+ $write("Testing SPI bus direct access\n");
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_TYPE_REG , {6'b000000, `DIRECT_ACCESS});
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`DIRECT_ACCESS_DATA_REG , 8'h5f);
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_CTRL_REG , {7'b0000000, `TRANS_START});
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead);
+ while (dataRead[0] == `TRANS_BUSY)
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead);
+
+ //write one byte to spi bus, and wait for complete
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`DIRECT_ACCESS_DATA_REG , 8'haa);
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_CTRL_REG , {7'b0000000, `TRANS_START});
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead);
+ while (dataRead[0] == `TRANS_BUSY)
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead);
+
+ //init test
+ $write("Testing SD init\n");
+ testHarness.u_sdModel.setRespByte(8'h01);
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_TYPE_REG , {6'b000000, `INIT_SD});
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_CTRL_REG , {7'b0000000, `TRANS_START});
+ #60000;
+ testHarness.u_sdModel.setRespByte(8'h00);
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead);
+ while (dataRead[0] == `TRANS_BUSY)
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead);
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_ERROR_REG , dataRead);
+ if (dataRead[1:0] == `INIT_NO_ERROR)
+ $write("SD init test passed\n");
+ else
+ $write("---- ERROR: SD init test failed. Error code = 0x%01x\n", dataRead[1:0] );
+
+ //block write
+ $write("Testing block write\n");
+ dataWrite = 8'h00;
+ for (i=0; i<=511; i=i+1) begin
+ testHarness.u_wb_master_model.wb_write(1, `TX_FIFO_BASE+`FIFO_DATA_REG , dataWrite);
+ dataWrite = dataWrite + 1'b1;
+ end
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_TYPE_REG , {6'b000000, `RW_WRITE_SD_BLOCK});
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_CTRL_REG , {7'b0000000, `TRANS_START});
+ #100000;
+ testHarness.u_sdModel.setRespByte(8'h05); //write response
+ #8000000;
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead);
+ if (dataRead[0] == `TRANS_BUSY) begin
+ $write("---- ERROR: SD block write failed to complete\n");
+ end
+ else begin
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_ERROR_REG , dataRead);
+ if (dataRead[5:4] == `WRITE_NO_ERROR)
+ $write("SD block write passed\n");
+ else
+ $write("---- ERROR: SD block write failed. Error code = 0x%01x\n", dataRead[5:4] );
+ end
+
+ //block read
+ $write("Testing block read\n");
+ testHarness.u_sdModel.setRespByte(8'h00); //cmd response
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_TYPE_REG , {6'b000000, `RW_READ_SD_BLOCK});
+ testHarness.u_wb_master_model.wb_write(1, `CTRL_STS_REG_BASE+`TRANS_CTRL_REG , {7'b0000000, `TRANS_START});
+ #100000;
+ testHarness.u_sdModel.setRespByte(8'hfe); //read response
+ #8000000;
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_STS_REG , dataRead);
+ if (dataRead[0] == `TRANS_BUSY) begin
+ $write("---- ERROR: SD block read failed to complete\n");
+ end
+ else begin
+ testHarness.u_wb_master_model.wb_read(1, `CTRL_STS_REG_BASE+`TRANS_ERROR_REG , dataRead);
+ if (dataRead[3:2] == `READ_NO_ERROR) begin
+ $write("SD block read passed\n");
+ for (j=0; j<=15; j=j+1) begin
+ $write("Data 0x%0x = ",j*32);
+ for (i=0; i<=31; i=i+1) begin
+ testHarness.u_wb_master_model.wb_read(1, `RX_FIFO_BASE+`FIFO_DATA_REG , dataRead);
+ $write("0x%0x ",dataRead);
+ end
+ $write("\n");
+ end
+ end
+ else
+ $write("---- ERROR: SD block read failed. Error code = 0x%01x\n", dataRead[3:2] );
+ end
+
+ $write("Finished all tests\n");
+ $stop;
+
+end
+
+endmodule
+
diff --git a/usrp2/fpga/opencores/sd_interface/bench/testHarness.v b/usrp2/fpga/opencores/sd_interface/bench/testHarness.v
new file mode 100644
index 000000000..ce126d67c
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/bench/testHarness.v
@@ -0,0 +1,105 @@
+`include "timescale.v"
+
+module testHarness( );
+
+
+// -----------------------------------
+// Local Wires
+// -----------------------------------
+reg clk;
+reg rst;
+reg spiSysClk;
+wire [7:0] adr;
+wire [7:0] masterDout;
+wire [7:0] masterDin;
+wire stb;
+wire we;
+wire ack;
+wire spiClk;
+wire spiMasterDataIn;
+wire spiMasterDataOut;
+wire spiCS_n;
+
+
+initial begin
+$dumpfile("wave.vcd");
+$dumpvars(0, u_spiMaster);
+end
+
+spiMaster u_spiMaster (
+ //Wishbone bus
+ .clk_i(clk),
+ .rst_i(rst),
+ .address_i(adr),
+ .data_i(masterDout),
+ .data_o(masterDin),
+ .strobe_i(stb),
+ .we_i(we),
+ .ack_o(ack),
+
+ // SPI logic clock
+ .spiSysClk(spiSysClk),
+
+ //SPI bus
+ .spiClkOut(spiClk),
+ .spiDataIn(spiMasterDataIn),
+ .spiDataOut(spiMasterDataOut),
+ .spiCS_n(spiCS_n)
+);
+
+wb_master_model #(.dwidth(8), .awidth(8)) u_wb_master_model (
+ .clk(clk),
+ .rst(rst),
+ .adr(adr),
+ .din(masterDin),
+ .dout(masterDout),
+ .cyc(),
+ .stb(stb),
+ .we(we),
+ .sel(),
+ .ack(ack),
+ .err(1'b0),
+ .rty(1'b0)
+);
+
+sdModel u_sdModel (
+ .spiClk(spiClk),
+ .spiDataIn(spiMasterDataOut),
+ .spiDataOut(spiMasterDataIn),
+ .spiCS_n(spiCS_n)
+);
+//--------------- reset ---------------
+initial begin
+ @(posedge clk);
+ @(posedge clk);
+ @(posedge clk);
+ @(posedge clk);
+ @(posedge clk);
+ @(posedge clk);
+ @(posedge clk);
+ @(posedge clk);
+ rst <= 1'b1;
+ @(posedge clk);
+ rst <= 1'b0;
+ @(posedge clk);
+end
+
+// ****************************** Clock section ******************************
+`define CLK_50MHZ_HALF_PERIOD 10
+`define CLK_25MHZ_HALF_PERIOD 20
+
+always begin
+ #`CLK_25MHZ_HALF_PERIOD clk <= 1'b0;
+ #`CLK_25MHZ_HALF_PERIOD clk <= 1'b1;
+end
+
+always begin
+ #`CLK_50MHZ_HALF_PERIOD spiSysClk <= 1'b0;
+ #`CLK_50MHZ_HALF_PERIOD spiSysClk <= 1'b1;
+end
+
+
+
+
+endmodule
+
diff --git a/usrp2/fpga/opencores/sd_interface/doc/spiMaster_FSM.pdf b/usrp2/fpga/opencores/sd_interface/doc/spiMaster_FSM.pdf
new file mode 100644
index 000000000..6a1ffc041
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/doc/spiMaster_FSM.pdf
Binary files differ
diff --git a/usrp2/fpga/opencores/sd_interface/doc/spiMaster_Specification.pdf b/usrp2/fpga/opencores/sd_interface/doc/spiMaster_Specification.pdf
new file mode 100644
index 000000000..b317e84c7
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/doc/spiMaster_Specification.pdf
Binary files differ
diff --git a/usrp2/fpga/opencores/sd_interface/doc/src/spiMaster_Specification.sxw b/usrp2/fpga/opencores/sd_interface/doc/src/spiMaster_Specification.sxw
new file mode 100644
index 000000000..25895f0cb
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/doc/src/spiMaster_Specification.sxw
Binary files differ
diff --git a/usrp2/fpga/opencores/sd_interface/model/sdModel.v b/usrp2/fpga/opencores/sd_interface/model/sdModel.v
new file mode 100644
index 000000000..1e202bac1
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/model/sdModel.v
@@ -0,0 +1,99 @@
+`include "timescale.v"
+
+module sdModel(
+ spiClk,
+ spiDataIn,
+ spiDataOut,
+ spiCS_n
+);
+input spiClk;
+input spiDataIn;
+output spiDataOut;
+reg spiDataOut;
+input spiCS_n;
+
+//local wires and regs
+reg [7:0] rxByte;
+reg [7:0] respByte;
+reg [1:0] smSt;
+reg [7:0] cnt;
+
+`define START 2'b00
+`define WAIT_FF 2'b01
+`define WAIT_FF_FIN 2'b10
+
+initial
+begin
+ smSt = `START;
+end
+
+
+// ------------------------------ txRxByte --------------------------
+task txRxByte;
+input [7:0] txData;
+output [7:0] rxData;
+
+integer i;
+begin
+ spiDataOut <= txData[7];
+ //@(negedge spiCS_n);
+ for (i=0;i<=7;i=i+1) begin
+ @(posedge spiClk);
+ rxData[0] <= spiDataIn;
+ rxData = rxData << 1;
+ @(negedge spiClk);
+ spiDataOut <= txData[6];
+ txData = txData << 1;
+ end
+end
+endtask
+
+
+//response state machine
+always begin
+ case (smSt)
+ `START: begin
+ txRxByte(8'hff, rxByte);
+ if (rxByte == 8'hff) begin
+ smSt <= `WAIT_FF;
+ cnt <= 8'h00;
+ end
+ end
+ `WAIT_FF: begin
+ txRxByte(8'hff, rxByte);
+ if (rxByte == 8'hff) begin
+ cnt <= cnt + 1'b1;
+ if (cnt == 8'h04) begin
+ txRxByte(respByte, rxByte);
+ smSt <= `WAIT_FF_FIN;
+ end
+ end
+ else begin
+ smSt <= `START;
+ cnt <= 8'h00;
+ end
+ end
+ `WAIT_FF_FIN: begin
+ txRxByte(8'hff, rxByte);
+ if (rxByte == 8'h04) begin
+ cnt <= cnt + 1'b1;
+ if (cnt == 8'hff) begin
+ txRxByte(respByte, rxByte);
+ smSt <= `START;
+ end
+ end
+ else
+ smSt <= `START;
+ end
+ endcase
+end
+
+// setRespByte
+task setRespByte;
+ input [7:0] dataByte;
+ begin
+ respByte = dataByte;
+ end
+endtask
+
+endmodule
diff --git a/usrp2/fpga/opencores/sd_interface/model/wb_master_model.v b/usrp2/fpga/opencores/sd_interface/model/wb_master_model.v
new file mode 100644
index 000000000..3f8b7ee6a
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/model/wb_master_model.v
@@ -0,0 +1,176 @@
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// wb_master_model.v ////
+//// ////
+//// This file is part of the SPI IP core project ////
+//// http://www.opencores.org/projects/spi/ ////
+//// ////
+//// Author(s): ////
+//// - Simon Srot (simons@opencores.org) ////
+//// ////
+//// Based on: ////
+//// - i2c/bench/verilog/wb_master_model.v ////
+//// Copyright (C) 2001 Richard Herveille ////
+//// ////
+//// All additional information is avaliable in the Readme.txt ////
+//// file. ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2002 Authors ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from http://www.opencores.org/lgpl.shtml ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+
+`include "timescale.v"
+
+module wb_master_model(clk, rst, adr, din, dout, cyc, stb, we, sel, ack, err, rty);
+
+ parameter dwidth = 32;
+ parameter awidth = 32;
+
+ input clk, rst;
+ output [awidth -1:0] adr;
+ input [dwidth -1:0] din;
+ output [dwidth -1:0] dout;
+ output cyc, stb;
+ output we;
+ output [dwidth/8 -1:0] sel;
+ input ack, err, rty;
+
+ // Internal signals
+ reg [awidth -1:0] adr;
+ reg [dwidth -1:0] dout;
+ reg cyc, stb;
+ reg we;
+ reg [dwidth/8 -1:0] sel;
+
+ reg [dwidth -1:0] q;
+
+ // Memory Logic
+ initial
+ begin
+ adr = {awidth{1'bx}};
+ dout = {dwidth{1'bx}};
+ cyc = 1'b0;
+ stb = 1'bx;
+ we = 1'hx;
+ sel = {dwidth/8{1'bx}};
+ #1;
+ end
+
+ // Wishbone write cycle
+ task wb_write;
+ input delay;
+ integer delay;
+
+ input [awidth -1:0] a;
+ input [dwidth -1:0] d;
+
+ begin
+
+ // wait initial delay
+ repeat(delay) @(posedge clk);
+
+ // assert wishbone signal
+ #1;
+ adr = a;
+ dout = d;
+ cyc = 1'b1;
+ stb = 1'b1;
+ we = 1'b1;
+ sel = {dwidth/8{1'b1}};
+ @(posedge clk);
+
+ // wait for acknowledge from slave
+ while(~ack) @(posedge clk);
+
+ // negate wishbone signals
+ #1;
+ cyc = 1'b0;
+ stb = 1'bx;
+ adr = {awidth{1'bx}};
+ dout = {dwidth{1'bx}};
+ we = 1'hx;
+ sel = {dwidth/8{1'bx}};
+
+ end
+ endtask
+
+ // Wishbone read cycle
+ task wb_read;
+ input delay;
+ integer delay;
+
+ input [awidth -1:0] a;
+ output [dwidth -1:0] d;
+
+ begin
+
+ // wait initial delay
+ repeat(delay) @(posedge clk);
+
+ // assert wishbone signals
+ #1;
+ adr = a;
+ dout = {dwidth{1'bx}};
+ cyc = 1'b1;
+ stb = 1'b1;
+ we = 1'b0;
+ sel = {dwidth/8{1'b1}};
+ @(posedge clk);
+
+ // wait for acknowledge from slave
+ while(~ack) @(posedge clk);
+
+ // negate wishbone signals
+ #1;
+ cyc = 1'b0;
+ stb = 1'bx;
+ adr = {awidth{1'bx}};
+ dout = {dwidth{1'bx}};
+ we = 1'hx;
+ sel = {dwidth/8{1'bx}};
+ d = din;
+
+ end
+ endtask
+
+ // Wishbone compare cycle (read data from location and compare with expected data)
+ task wb_cmp;
+ input delay;
+ integer delay;
+
+ input [awidth -1:0] a;
+ input [dwidth -1:0] d_exp;
+
+ begin
+ wb_read (delay, a, q);
+
+ if (d_exp !== q)
+ $display("Data compare error. Received %h, expected %h at time %t", q, d_exp, $time);
+ end
+ endtask
+
+endmodule
+
diff --git a/usrp2/fpga/opencores/sd_interface/sim/build_icarus.bat b/usrp2/fpga/opencores/sd_interface/sim/build_icarus.bat
new file mode 100644
index 000000000..d3d60d708
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/sim/build_icarus.bat
@@ -0,0 +1,4 @@
+iverilog -o testHarness -cfilelist.icarus
+
+pause
+
diff --git a/usrp2/fpga/opencores/sd_interface/sim/compile.do b/usrp2/fpga/opencores/sd_interface/sim/compile.do
new file mode 100644
index 000000000..0388e1dbd
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/sim/compile.do
@@ -0,0 +1,22 @@
+
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/dpMem_dc.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/fifoRTL.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/RxFifoBI.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/TxFifoBI.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/RxFifo.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/TxFifo.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/initSD.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/readWriteSPIWireData.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/readWriteSDBlock.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/sendCmd.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/spiCtrl.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/spiTxRxData.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/spiMaster.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../model/wb_master_model.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/wishBoneBI.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../rtl/ctrlStsRegBI.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../model/sdModel.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../bench/testHarness.v
+vlog +define+SIM_COMPILE +incdir+../rtl ../bench/testCase0.v
+
+
diff --git a/usrp2/fpga/opencores/sd_interface/sim/filelist.icarus b/usrp2/fpga/opencores/sd_interface/sim/filelist.icarus
new file mode 100644
index 000000000..48a2ad031
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/sim/filelist.icarus
@@ -0,0 +1,21 @@
+../rtl/spiMasterTop.v
+../rtl/dpMem_dc.v
+../rtl/fifoRTL.v
+../rtl/RxFifoBI.v
+../rtl/TxFifoBI.v
+../rtl/RxFifo.v
+../rtl/TxFifo.v
+../rtl/initSD.v
+../rtl/readWriteSPIWireData.v
+../rtl/readWriteSDBlock.v
+../rtl/sendCmd.v
+../rtl/spiCtrl.v
+../rtl/spiTxRxData.v
+../rtl/wishBoneBI.v
+../rtl/ctrlStsRegBI.v
+../model/wb_master_model.v
+../model/sdModel.v
+../bench/testHarness.v
+../bench/testCase0.v
++incdir+../rtl
+
diff --git a/usrp2/fpga/opencores/sd_interface/sim/run.do b/usrp2/fpga/opencores/sd_interface/sim/run.do
new file mode 100644
index 000000000..c0ff8bacb
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/sim/run.do
@@ -0,0 +1,5 @@
+vsim testCase0 testHarness
+do wave.do
+run -all
+
+
diff --git a/usrp2/fpga/opencores/sd_interface/sim/run_icarus.bat b/usrp2/fpga/opencores/sd_interface/sim/run_icarus.bat
new file mode 100644
index 000000000..9d6aae003
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/sim/run_icarus.bat
@@ -0,0 +1,2 @@
+vvp testHarness
+
diff --git a/usrp2/fpga/opencores/sd_interface/sim/testHarness b/usrp2/fpga/opencores/sd_interface/sim/testHarness
new file mode 100644
index 000000000..08c4dad3c
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/sim/testHarness
@@ -0,0 +1,5458 @@
+:vpi_time_precision - 12;
+:vpi_module "system";
+S_005FC090 .scope module, "testCase0" "testCase0";
+ .timescale -9;
+V_$006AD848 .var "dataRead", 7, 0;
+V_$006AFD38 .var "dataWrite", 7, 0;
+V_$006AFE40 .var/i "i", 31, 0;
+S_006338F0 .scope module, "testHarness" "testHarness";
+ .timescale -9;
+V_$006E2EF0 .net "ack", 0, 0, V_$006DE038[0];
+V_$006E2F60 .net "adr", 7, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3], V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7];
+V_$006DF4B8 .var "clk", 0, 0;
+V_$006DF480 .net "masterDin", 7, 0, V_$006DE8E8[0], V_$006DE8E8[1], V_$006DE8E8[2], V_$006DE8E8[3], V_$006DE8E8[4], V_$006DE8E8[5], V_$006DE8E8[6], V_$006DE8E8[7];
+V_$006E31C0 .net "masterDout", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+V_$006E0BD0 .var "rst", 0, 0;
+V_$006E0D70 .net "spiCS_n", 0, 0, L_006E3660;
+V_$006DE750 .net "spiClk", 0, 0, V_$006CAA28[0];
+V_$006DE7C0 .net "spiMasterDataIn", 0, 0, V_$00644A08[0];
+V_$006E3498 .net "spiMasterDataOut", 0, 0, V_$006CAAD8[0];
+V_$006E3508 .var "spiSysClk", 0, 0;
+V_$006E3578 .net "stb", 0, 0, V_$006607B0[0];
+V_$006E35B0 .net "we", 0, 0, V_$006A5C98[0];
+S_006C1500 .scope module, "u_spiMasterTop" "spiMasterTop", S_006338F0;
+ .timescale -9;
+L_006E3540 .functor AND, V_$006DADF8[0], V_$006D6C70[0], C<1>, C<1>;
+L_006E3660 .functor AND, L_006E3540, V_$006DBBB8[0], C<1>, C<1>;
+V_$006DDD50 .net "SDAddr", 31, 0, V_$006DBED8[0], V_$006DBED8[1], V_$006DBED8[2], V_$006DBED8[3], V_$006DBED8[4], V_$006DBED8[5], V_$006DBED8[6], V_$006DBED8[7], V_$006DBED8[8], V_$006DBED8[9], V_$006DBED8[10], V_$006DBED8[11], V_$006DBED8[12], V_$006DBED8[13], V_$006DBED8[14], V_$006DBED8[15], V_$006DBED8[16], V_$006DBED8[17], V_$006DBED8[18], V_$006DBED8[19], V_$006DBED8[20], V_$006DBED8[21], V_$006DBED8[22], V_$006DBED8[23], V_$006DBED8[24], V_$006DBED8[25], V_$006DBED8[26], V_$006DBED8[27], V_$006DBED8[28], V_$006DBED8[29], V_$006DBED8[30], V_$006DBED8[31];
+V_$006DF148 .net "SDInitError", 1, 0, V_$006D8260[0], V_$006D8260[1];
+V_$006DF1E0 .net "SDReadError", 1, 0, V_$006D6488[0], V_$006D6488[1];
+V_$006DF278 .net "SDWriteError", 1, 0, V_$006D75E8[0], V_$006D75E8[1];
+V_$006DF310 .net "ack_o", 0, 0, V_$006DE038[0];
+V_$006DF378 .net "address_i", 7, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3], V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7];
+V_$006DF4F0 .net "checkSumByteFromInitSD", 7, 0, V_$006D7F80[0], V_$006D7F80[1], V_$006D7F80[2], V_$006D7F80[3], V_$006D7F80[4], V_$006D7F80[5], V_$006D7F80[6], V_$006D7F80[7];
+V_$006DF608 .net "checkSumByteFromRWSDBlock", 7, 0, V_$006D2790[0], V_$006D2790[1], V_$006D2790[2], V_$006D2790[3], V_$006D2790[4], V_$006D2790[5], V_$006D2790[6], V_$006D2790[7];
+V_$006DF7E8 .net "clk_i", 0, 0, V_$006DF4B8[0];
+V_$006DF850 .net "cmdByteFromInitSD", 7, 0, V_$006D7B08[0], V_$006D7B08[1], V_$006D7B08[2], V_$006D7B08[3], V_$006D7B08[4], V_$006D7B08[5], V_$006D7B08[6], V_$006D7B08[7];
+V_$006DFA08 .net "cmdByteFromRWSDBlock", 7, 0, V_$006D2970[0], V_$006D2970[1], V_$006D2970[2], V_$006D2970[3], V_$006D2970[4], V_$006D2970[5], V_$006D2970[6], V_$006D2970[7];
+V_$006DFBF0 .net "dataByte1FromInitSD", 7, 0, V_$006D7C70[0], V_$006D7C70[1], V_$006D7C70[2], V_$006D7C70[3], V_$006D7C70[4], V_$006D7C70[5], V_$006D7C70[6], V_$006D7C70[7];
+V_$006DFDD0 .net "dataByte1FromRWSDBlock", 7, 0, V_$006D2AB0[0], V_$006D2AB0[1], V_$006D2AB0[2], V_$006D2AB0[3], V_$006D2AB0[4], V_$006D2AB0[5], V_$006D2AB0[6], V_$006D2AB0[7];
+V_$006DFA40 .net "dataByte2FromInitSD", 7, 0, V_$006D7DD0[0], V_$006D7DD0[1], V_$006D7DD0[2], V_$006D7DD0[3], V_$006D7DD0[4], V_$006D7DD0[5], V_$006D7DD0[6], V_$006D7DD0[7];
+V_$006D8068 .net "dataByte2FromRWSDBlock", 7, 0, V_$006D2C18[0], V_$006D2C18[1], V_$006D2C18[2], V_$006D2C18[3], V_$006D2C18[4], V_$006D2C18[5], V_$006D2C18[6], V_$006D2C18[7];
+V_$006D2D38 .net "dataByte3FromInitSD", 7, 0, V_$006D80C8[0], V_$006D80C8[1], V_$006D80C8[2], V_$006D80C8[3], V_$006D80C8[4], V_$006D80C8[5], V_$006D80C8[6], V_$006D80C8[7];
+V_$006D81E8 .net "dataByte3FromRWSDBlock", 7, 0, V_$006D2D78[0], V_$006D2D78[1], V_$006D2D78[2], V_$006D2D78[3], V_$006D2D78[4], V_$006D2D78[5], V_$006D2D78[6], V_$006D2D78[7];
+V_$006D30F8 .net "dataByte4FromInitSD", 7, 0, V_$006D8228[0], V_$006D8228[1], V_$006D8228[2], V_$006D8228[3], V_$006D8228[4], V_$006D8228[5], V_$006D8228[6], V_$006D8228[7];
+V_$006D8380 .net "dataByte4FromRWSDBlock", 7, 0, V_$006D3138[0], V_$006D3138[1], V_$006D3138[2], V_$006D3138[3], V_$006D3138[4], V_$006D3138[5], V_$006D3138[6], V_$006D3138[7];
+V_$006E0468 .net "dataFromCtrlStsReg", 7, 0, V_$006DC658[0], V_$006DC658[1], V_$006DC658[2], V_$006DC658[3], V_$006DC658[4], V_$006DC658[5], V_$006DC658[6], V_$006DC658[7];
+V_$006E0590 .net "dataFromRxFifo", 7, 0, V_$006A5290[0], V_$006A5290[1], V_$006A5290[2], V_$006A5290[3], V_$006A5290[4], V_$006A5290[5], V_$006A5290[6], V_$006A5290[7];
+V_$006E07B0 .net "dataFromTxFifo", 7, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
+V_$006E09D0 .net "data_i", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+V_$006DE808 .net "data_o", 7, 0, V_$006DE8E8[0], V_$006DE8E8[1], V_$006DE8E8[2], V_$006DE8E8[3], V_$006DE8E8[4], V_$006DE8E8[5], V_$006DE8E8[6], V_$006DE8E8[7];
+V_$006DE868 .net "readWriteSDBlockReq", 1, 0, V_$006DBA28[0], V_$006DBA28[1];
+V_$006DE8A0 .net "rstSyncToSpiClk", 0, 0, V_$006DCA00[0];
+V_$006E0D38 .net "rst_i", 0, 0, V_$006E0BD0[0];
+V_$006E0DA8 .net "rxDataFromRWSPIWireData", 7, 0, V_$006C90B8[0], V_$006C90B8[1], V_$006C90B8[2], V_$006C90B8[3], V_$006C90B8[4], V_$006C90B8[5], V_$006C90B8[6], V_$006C90B8[7];
+V_$006CB650 .net "rxDataFromSpiTxRxData", 7, 0, V_$006CB750[0], V_$006CB750[1], V_$006CB750[2], V_$006CB750[3], V_$006CB750[4], V_$006CB750[5], V_$006CB750[6], V_$006CB750[7];
+V_$006DD040 .net "rxDataRdyClrFromRWSDBlock", 0, 0, V_$006D6920[0];
+V_$006DD008 .net "rxDataRdyClrFromSendCmd", 0, 0, V_$006D1308[0];
+V_$006DCD68 .net "rxDataRdySetFromRWSPIWireData", 0, 0, V_$006CA688[0];
+V_$006DCD30 .net "rxFifoDataIn", 7, 0, V_$006D6958[0], V_$006D6958[1], V_$006D6958[2], V_$006D6958[3], V_$006D6958[4], V_$006D6958[5], V_$006D6958[6], V_$006D6958[7];
+V_$006D6B58 .net "sendCmdRespByte", 7, 0, V_$006D0B40[0], V_$006D0B40[1], V_$006D0B40[2], V_$006D0B40[3], V_$006D0B40[4], V_$006D0B40[5], V_$006D0B40[6], V_$006D0B40[7];
+V_$006DCCC0 .net "spiCS_n", 0, 0, L_006E3660;
+V_$006DCC88 .net "spiCS_nFromInitSD", 0, 0, V_$006DADF8[0];
+V_$006DCC50 .net "spiCS_nFromRWSDBlock", 0, 0, V_$006D6C70[0];
+V_$006E12C8 .net "spiCS_nFromSpiCtrl", 0, 0, V_$006DBBB8[0];
+V_$006E1300 .net "spiClkDelayFromCtrlStsReg", 7, 0, V_$006DCA38[0], V_$006DCA38[1], V_$006DCA38[2], V_$006DCA38[3], V_$006DCA38[4], V_$006DCA38[5], V_$006DCA38[6], V_$006DCA38[7];
+V_$006DCB70 .net "spiClkDelayFromInitSD", 7, 0, V_$006DB188[0], V_$006DB188[1], V_$006DB188[2], V_$006DB188[3], V_$006DB188[4], V_$006DB188[5], V_$006DB188[6], V_$006DB188[7];
+V_$006DB2A8 .net "spiClkOut", 0, 0, V_$006CAA28[0];
+V_$006E1450 .net "spiDataIn", 0, 0, V_$00644A08[0];
+V_$006E14B8 .net "spiDataOut", 0, 0, V_$006CAAD8[0];
+V_$006E1520 .net "spiDirectAccessTxData", 7, 0, V_$006DD220[0], V_$006DD220[1], V_$006DD220[2], V_$006DD220[3], V_$006DD220[4], V_$006DD220[5], V_$006DD220[6], V_$006DD220[7];
+V_$006DD340 .net "spiSysClk", 0, 0, V_$006E3508[0];
+V_$006E1700 .net "spiTransType", 1, 0, V_$006DDBA0[0], V_$006DDBA0[1];
+V_$006DDBD8 .net "strobe_i", 0, 0, V_$006607B0[0];
+V_$006E1798 .net "txDataFromInitSD", 7, 0, V_$006D71D0[0], V_$006D71D0[1], V_$006D71D0[2], V_$006D71D0[3], V_$006D71D0[4], V_$006D71D0[5], V_$006D71D0[6], V_$006D71D0[7];
+V_$006DB478 .net "txDataFromRWSDBlock", 7, 0, V_$006D7208[0], V_$006D7208[1], V_$006D7208[2], V_$006D7208[3], V_$006D7208[4], V_$006D7208[5], V_$006D7208[6], V_$006D7208[7];
+V_$006D7268 .net "txDataFromSendCmd", 7, 0, V_$006D1678[0], V_$006D1678[1], V_$006D1678[2], V_$006D1678[3], V_$006D1678[4], V_$006D1678[5], V_$006D1678[6], V_$006D1678[7];
+V_$006E1AE8 .net "txDataFullClrFromRWSPIWireData", 0, 0, V_$006CAC78[0];
+V_$006E1B50 .net "txDataFullFromSpiTxRxData", 0, 0, V_$006CC7A8[0];
+V_$006E1B88 .net "txDataToRWSPIWireData", 7, 0, V_$006CC858[0], V_$006CC858[1], V_$006CC858[2], V_$006CC858[3], V_$006CC858[4], V_$006CC858[5], V_$006CC858[6], V_$006CC858[7];
+V_$006CC978 .net "txDataWenFromInitSD", 0, 0, V_$006DB4B8[0];
+V_$006E1CD8 .net "txDataWenFromRWSDBlock", 0, 0, V_$006D72A8[0];
+V_$006E1D40 .net "txDataWenFromSendCmd", 0, 0, V_$006D17B8[0];
+V_$006E1DA8 .net "txFifoDataOut", 7, 0, V_$006C7CF0[0], V_$006C7CF0[1], V_$006C7CF0[2], V_$006C7CF0[3], V_$006C7CF0[4], V_$006C7CF0[5], V_$006C7CF0[6], V_$006C7CF0[7];
+V_$006E1FC8 .net "we_i", 0, 0, V_$006A5C98[0];
+V_$006E2040 .net "ctrlStsRegSel", 0, 0, V_$006DE140[0];
+V_$006E2078 .net "rxFifoSel", 0, 0, V_$006DE9B8[0];
+V_$006E20E8 .net "txFifoSel", 0, 0, V_$006DEA20[0];
+V_$006E2158 .net "spiTransCtrl", 0, 0, V_$006DDF80[0];
+V_$006E2190 .net "spiTransSts", 0, 0, V_$006DBC48[0];
+V_$006E21C8 .net "rstSyncToBusClk", 0, 0, V_$006DC940[0];
+V_$006E2310 .net "readWriteSDBlockRdy", 0, 0, V_$006D6E38[0];
+V_$006E2348 .net "rxDataRdyFromSpiTxRxData", 0, 0, V_$006CB9B8[0];
+V_$006E2498 .net "rxDataRdyClrFromSpiCtrl", 0, 0, V_$006DAC70[0];
+V_$006E24D0 .net "SDInitRdy", 0, 0, V_$006D7E68[0];
+V_$006E2628 .net "SDInitReq", 0, 0, V_$006DB678[0];
+V_$006E2660 .net "txDataWenFromSpiCtrl", 0, 0, V_$006DBDB0[0];
+V_$006E27C0 .net "sendCmdRespTout", 0, 0, V_$006D1010[0];
+V_$006E2830 .net "rxDataRdyClrFromInitSD", 0, 0, V_$006DACA8[0];
+V_$006E2998 .net "sendCmdRdy", 0, 0, V_$006D1360[0];
+V_$006E2A08 .net "sendCmdReqFromInitSD", 0, 0, V_$006DAD18[0];
+V_$006E2B78 .net "txDataEmptyFromRWSPIWireData", 0, 0, V_$006CAB30[0];
+V_$006DB320 .net "rRxFifoWE", 0, 0, V_$006D6B90[0];
+V_$006D6BC8 .net "sendCmdReqFromRWSDBlock", 0, 0, V_$006D6C38[0];
+V_$006E2CF0 .net "txFifoRE", 0, 0, V_$006D7488[0];
+V_$006D75B0 .net "hostTxFifoEmpty", 0, 0, V_$006C7FF8[0];
+V_$006E2EB8 .net "hostRxFifoFull", 0, 0, V_$006C4260[0];
+S_006DD638 .scope module, "u_wishBoneBI" "wishBoneBI", S_006C1500;
+ .timescale -9;
+V_$006DDE60 .var "ack_delayed", 0, 0;
+V_$006DDEE0 .var "ack_immediate", 0, 0;
+V_$006DE038 .var "ack_o", 0, 0;
+V_$006DE0A8 .net "address", 7, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3], V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7];
+V_$006DE108 .net "clk", 0, 0, V_$006DF4B8[0];
+V_$006DE140 .var "ctrlStsRegSel", 0, 0;
+V_$006DE178 .net "dataFromCtrlStsReg", 7, 0, V_$006DC658[0], V_$006DC658[1], V_$006DC658[2], V_$006DC658[3], V_$006DC658[4], V_$006DC658[5], V_$006DC658[6], V_$006DC658[7];
+V_$006DE280 .net "dataFromRxFifo", 7, 0, V_$006A5290[0], V_$006A5290[1], V_$006A5290[2], V_$006A5290[3], V_$006A5290[4], V_$006A5290[5], V_$006A5290[6], V_$006A5290[7];
+V_$006DE3A0 .net "dataFromTxFifo", 7, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
+V_$006DE5B8 .net "dataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+V_$006DE8E8 .var "dataOut", 7, 0;
+V_$006DE980 .net "rst", 0, 0, V_$006E0BD0[0];
+V_$006DE9B8 .var "rxFifoSel", 0, 0;
+V_$006DE920 .net "strobe_i", 0, 0, V_$006607B0[0];
+V_$006DEA20 .var "txFifoSel", 0, 0;
+V_$006DEA88 .net "writeEn", 0, 0, V_$006A5C98[0];
+E_006DDDA0/0 .event edge, V_$006DDEE0[0], V_$006DDE60[0], V_$0068AE60[0], V_$0068AE60[1];
+E_006DDDA0/1 .event edge, V_$0068AE60[2], V_$0068AE60[3], V_$0068AE60[4], V_$0068AE60[5];
+E_006DDDA0/2 .event edge, V_$0068AE60[6], V_$0068AE60[7], V_$006A5C98[0];
+E_006DDDA0 .event/or E_006DDDA0/0, E_006DDDA0/1, E_006DDDA0/2;
+E_006DDDF8 .event edge, V_$006607B0[0];
+E_006DDE28/0 .event edge, C<0>, C<0>, C<0>, C<0>;
+E_006DDE28/1 .event edge, C<0>, C<0>, C<0>, C<0>;
+E_006DDE28/2 .event edge, V_$006A5290[0], V_$006A5290[1], V_$006A5290[2], V_$006A5290[3];
+E_006DDE28/3 .event edge, V_$006A5290[4], V_$006A5290[5], V_$006A5290[6], V_$006A5290[7];
+E_006DDE28/4 .event edge, V_$006DC658[0], V_$006DC658[1], V_$006DC658[2], V_$006DC658[3];
+E_006DDE28/5 .event edge, V_$006DC658[4], V_$006DC658[5], V_$006DC658[6], V_$006DC658[7];
+E_006DDE28/6 .event edge, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3];
+E_006DDE28/7 .event edge, V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7];
+E_006DDE28 .event/or E_006DDE28/0, E_006DDE28/1, E_006DDE28/2, E_006DDE28/3, E_006DDE28/4, E_006DDE28/5, E_006DDE28/6, E_006DDE28/7;
+S_006DBE08 .scope module, "u_ctrlStsRegBI" "ctrlStsRegBI", S_006C1500;
+ .timescale -9;
+V_$006DBED8 .var "SDAddr", 31, 0;
+V_$006DC3C8 .net "SDInitError", 1, 0, V_$006D8260[0], V_$006D8260[1];
+V_$006DC440 .var "SDInitErrorSTB", 1, 0;
+V_$006DC478 .net "SDReadError", 1, 0, V_$006D6488[0], V_$006D6488[1];
+V_$006DC4D0 .var "SDReadErrorSTB", 1, 0;
+V_$006DCE08 .net "SDWriteError", 1, 0, V_$006D75E8[0], V_$006D75E8[1];
+V_$006DCE80 .var "SDWriteErrorSTB", 1, 0;
+V_$006DCEF8 .net "address", 7, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3], V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7];
+V_$006DC578 .net "busClk", 0, 0, V_$006DF4B8[0];
+V_$006DC5B0 .net "ctrlStsRegSel", 0, 0, V_$006DE140[0];
+V_$006DC5E8 .net "dataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+V_$006DC658 .var "dataOut", 7, 0;
+V_$006DC6B8 .var "rstFromBus", 0, 0;
+V_$006DC620 .net "rstFromWire", 0, 0, V_$006E0BD0[0];
+V_$006DC710 .var "rstShift", 5, 0;
+V_$006DC940 .var "rstSyncToBusClkOut", 0, 0;
+V_$006DC978 .var "rstSyncToSpiClkFirst", 0, 0;
+V_$006DCA00 .var "rstSyncToSpiClkOut", 0, 0;
+V_$006DCA38 .var "spiClkDelay", 7, 0;
+V_$006DCBF0 .net "spiDirectAccessRxData", 7, 0, V_$006CB750[0], V_$006CB750[1], V_$006CB750[2], V_$006CB750[3], V_$006CB750[4], V_$006CB750[5], V_$006CB750[6], V_$006CB750[7];
+V_$006DD078 .var "spiDirectAccessRxDataSTB", 7, 0;
+V_$006DD220 .var "spiDirectAccessTxData", 7, 0;
+V_$006DD380 .var "spiDirectAccessTxDataSTB", 7, 0;
+V_$006DDF48 .net "spiSysClk", 0, 0, V_$006E3508[0];
+V_$006DDF80 .var "spiTransCtrl", 0, 0;
+V_$006DD6A8 .var "spiTransCtrlSTB", 0, 0;
+V_$006DD6E0 .var "spiTransCtrlShift", 5, 0;
+V_$006DD918 .net "spiTransStatus", 0, 0, V_$006DBC48[0];
+V_$006DD970 .var "spiTransStatusReg1", 0, 0;
+V_$006DD9E0 .var "spiTransStatusReg2", 0, 0;
+V_$006DDAA8 .var "spiTransStatusSTB", 0, 0;
+V_$006DDBA0 .var "spiTransType", 1, 0;
+V_$006DDC18 .var "spiTransTypeSTB", 1, 0;
+V_$006DDCE0 .net "strobe_i", 0, 0, V_$006607B0[0];
+V_$006DDD18 .net "writeEn", 0, 0, V_$006A5C98[0];
+E_006DBE78/0 .event edge, V_$006DC710[0], V_$006DC710[1], V_$006DC710[2], V_$006DC710[3];
+E_006DBE78/1 .event edge, V_$006DC710[4], V_$006DC710[5];
+E_006DBE78 .event/or E_006DBE78/0, E_006DBE78/1;
+E_006DBEB8/0 .event edge, V_$006DD078[0], V_$006DD078[1], V_$006DD078[2], V_$006DD078[3];
+E_006DBEB8/1 .event edge, V_$006DD078[4], V_$006DD078[5], V_$006DD078[6], V_$006DD078[7];
+E_006DBEB8/2 .event edge, V_$006DDAA8[0], V_$006DD6A8[0], V_$006DDC18[0], V_$006DDC18[1];
+E_006DBEB8/3 .event edge, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2], V_$0068AE60[3];
+E_006DBEB8/4 .event edge, V_$0068AE60[4], V_$0068AE60[5], V_$0068AE60[6], V_$0068AE60[7];
+E_006DBEB8 .event/or E_006DBEB8/0, E_006DBEB8/1, E_006DBEB8/2, E_006DBEB8/3, E_006DBEB8/4;
+S_006DA008 .scope module, "u_spiCtrl" "spiCtrl", S_006C1500;
+ .timescale -9;
+V_$006DB530 .var "CurrState_spiCtrlSt", 2, 0;
+V_$006DB5C8 .var "NextState_spiCtrlSt", 2, 0;
+V_$006DB620 .net "SDInitRdy", 0, 0, V_$006D7E68[0];
+V_$006DB678 .var "SDInitReq", 0, 0;
+V_$006DB6D0 .net "clk", 0, 0, V_$006E3508[0];
+V_$006DB728 .var "next_SDInitReq", 0, 0;
+V_$006DB760 .var "next_readWriteSDBlockReq", 1, 0;
+V_$006DB7C0 .var "next_rxDataRdyClr", 0, 0;
+V_$006DB810 .var "next_spiCS_n", 0, 0;
+V_$006DB890 .var "next_spiTransSts", 0, 0;
+V_$006DB918 .var "next_txDataWen", 0, 0;
+V_$006DB9D0 .net "readWriteSDBlockRdy", 0, 0, V_$006D6E38[0];
+V_$006DBA28 .var "readWriteSDBlockReq", 1, 0;
+V_$006DB950 .net "rst", 0, 0, V_$006DCA00[0];
+V_$006DBB18 .net "rxDataRdy", 0, 0, V_$006CB9B8[0];
+V_$006DAC70 .var "rxDataRdyClr", 0, 0;
+V_$006DBBB8 .var "spiCS_n", 0, 0;
+V_$006DBC10 .net "spiTransCtrl", 0, 0, V_$006DDF80[0];
+V_$006DBC48 .var "spiTransSts", 0, 0;
+V_$006DBCC8 .net "spiTransType", 1, 0, V_$006DDBA0[0], V_$006DDBA0[1];
+V_$006DBDB0 .var "txDataWen", 0, 0;
+E_006DB510/0 .event edge, V_$006DB530[0], V_$006DB530[1], V_$006DB530[2], V_$006DBBB8[0];
+E_006DB510/1 .event edge, V_$006DBC48[0], V_$006DAC70[0], V_$006DB678[0], V_$006DBDB0[0];
+E_006DB510/2 .event edge, V_$006DBA28[0], V_$006DBA28[1], V_$006D6E38[0], V_$006D7E68[0];
+E_006DB510/3 .event edge, V_$006CB9B8[0], V_$006DDF80[0];
+E_006DB510 .event/or E_006DB510/0, E_006DB510/1, E_006DB510/2, E_006DB510/3;
+S_006D5E10 .scope module, "u_initSD" "initSD", S_006C1500;
+ .timescale -9;
+V_$006D7810 .var "CurrState_initSDSt", 3, 0;
+V_$006D78C0 .var "NextState_initSDSt", 3, 0;
+V_$006D7E68 .var "SDInitRdy", 0, 0;
+V_$006D7F00 .net "SDInitReq", 0, 0, V_$006DB678[0];
+V_$006D7F80 .var "checkSumByte", 7, 0;
+V_$006D7AD0 .net "clk", 0, 0, V_$006E3508[0];
+V_$006D7B08 .var "cmdByte", 7, 0;
+V_$006D7C70 .var "dataByte1", 7, 0;
+V_$006D7DD0 .var "dataByte2", 7, 0;
+V_$006D80C8 .var "dataByte3", 7, 0;
+V_$006D8228 .var "dataByte4", 7, 0;
+V_$006D83C0 .var "delCnt1", 9, 0;
+V_$006D83F8 .var "delCnt2", 7, 0;
+V_$006D8260 .var "initError", 1, 0;
+V_$006D8E20 .var "loopCnt", 7, 0;
+V_$006D8560 .var "next_SDInitRdy", 0, 0;
+V_$006D85E0 .var "next_checkSumByte", 7, 0;
+V_$006D8900 .var "next_cmdByte", 7, 0;
+V_$006D8B78 .var "next_dataByte1", 7, 0;
+V_$006D90D8 .var "next_dataByte2", 7, 0;
+V_$006D93B8 .var "next_dataByte3", 7, 0;
+V_$006D9F90 .var "next_dataByte4", 7, 0;
+V_$006D9750 .var "next_delCnt1", 9, 0;
+V_$006D9AB8 .var "next_delCnt2", 7, 0;
+V_$006D9D58 .var "next_initError", 1, 0;
+V_$006DA0A8 .var "next_loopCnt", 7, 0;
+V_$006DA348 .var "next_rxDataRdyClr", 0, 0;
+V_$006DA3D0 .var "next_sendCmdReq", 0, 0;
+V_$006DA450 .var "next_spiCS_n", 0, 0;
+V_$006DA4D0 .var "next_spiClkDelayOut", 7, 0;
+V_$006DA638 .var "next_txDataOut", 7, 0;
+V_$006DA918 .var "next_txDataWen", 0, 0;
+V_$006DA998 .net "respByte", 7, 0, V_$006D0B40[0], V_$006D0B40[1], V_$006D0B40[2], V_$006D0B40[3], V_$006D0B40[4], V_$006D0B40[5], V_$006D0B40[6], V_$006D0B40[7];
+V_$006DAB98 .net "respTout", 0, 0, V_$006D1010[0];
+V_$006DAC00 .net "rst", 0, 0, V_$006DCA00[0];
+V_$006DAC38 .net "rxDataRdy", 0, 0, V_$006CB9B8[0];
+V_$006DACA8 .var "rxDataRdyClr", 0, 0;
+V_$006DACE0 .net "sendCmdRdy", 0, 0, V_$006D1360[0];
+V_$006DAD18 .var "sendCmdReq", 0, 0;
+V_$006DADF8 .var "spiCS_n", 0, 0;
+V_$006DAE30 .net "spiClkDelayIn", 7, 0, V_$006DCA38[0], V_$006DCA38[1], V_$006DCA38[2], V_$006DCA38[3], V_$006DCA38[4], V_$006DCA38[5], V_$006DCA38[6], V_$006DCA38[7];
+V_$006DB188 .var "spiClkDelayOut", 7, 0;
+V_$006DB2E8 .net "txDataEmpty", 0, 0, V_$006CAB30[0];
+V_$006DB358 .net "txDataFull", 0, 0, V_$006CC7A8[0];
+V_$006D71D0 .var "txDataOut", 7, 0;
+V_$006DB4B8 .var "txDataWen", 0, 0;
+E_006C8B28/0 .event edge, V_$006D7810[0], V_$006D7810[1], V_$006D7810[2], V_$006D7810[3];
+E_006C8B28/1 .event edge, V_$006DACA8[0], V_$006DAD18[0], V_$006D7F80[0], V_$006D7F80[1];
+E_006C8B28/2 .event edge, V_$006D7F80[2], V_$006D7F80[3], V_$006D7F80[4], V_$006D7F80[5];
+E_006C8B28/3 .event edge, V_$006D7F80[6], V_$006D7F80[7], V_$006D8228[0], V_$006D8228[1];
+E_006C8B28/4 .event edge, V_$006D8228[2], V_$006D8228[3], V_$006D8228[4], V_$006D8228[5];
+E_006C8B28/5 .event edge, V_$006D8228[6], V_$006D8228[7], V_$006D80C8[0], V_$006D80C8[1];
+E_006C8B28/6 .event edge, V_$006D80C8[2], V_$006D80C8[3], V_$006D80C8[4], V_$006D80C8[5];
+E_006C8B28/7 .event edge, V_$006D80C8[6], V_$006D80C8[7], V_$006D7DD0[0], V_$006D7DD0[1];
+E_006C8B28/8 .event edge, V_$006D7DD0[2], V_$006D7DD0[3], V_$006D7DD0[4], V_$006D7DD0[5];
+E_006C8B28/9 .event edge, V_$006D7DD0[6], V_$006D7DD0[7], V_$006D7C70[0], V_$006D7C70[1];
+E_006C8B28/10 .event edge, V_$006D7C70[2], V_$006D7C70[3], V_$006D7C70[4], V_$006D7C70[5];
+E_006C8B28/11 .event edge, V_$006D7C70[6], V_$006D7C70[7], V_$006D7B08[0], V_$006D7B08[1];
+E_006C8B28/12 .event edge, V_$006D7B08[2], V_$006D7B08[3], V_$006D7B08[4], V_$006D7B08[5];
+E_006C8B28/13 .event edge, V_$006D7B08[6], V_$006D7B08[7], V_$006DB4B8[0], V_$006D71D0[0];
+E_006C8B28/14 .event edge, V_$006D71D0[1], V_$006D71D0[2], V_$006D71D0[3], V_$006D71D0[4];
+E_006C8B28/15 .event edge, V_$006D71D0[5], V_$006D71D0[6], V_$006D71D0[7], V_$006D8260[0];
+E_006C8B28/16 .event edge, V_$006D8260[1], V_$006DADF8[0], V_$006D7E68[0], V_$006DB188[0];
+E_006C8B28/17 .event edge, V_$006DB188[1], V_$006DB188[2], V_$006DB188[3], V_$006DB188[4];
+E_006C8B28/18 .event edge, V_$006DB188[5], V_$006DB188[6], V_$006DB188[7], V_$006CAB30[0];
+E_006C8B28/19 .event edge, V_$006D83F8[0], V_$006D83F8[1], V_$006D83F8[2], V_$006D83F8[3];
+E_006C8B28/20 .event edge, V_$006D83F8[4], V_$006D83F8[5], V_$006D83F8[6], V_$006D83F8[7];
+E_006C8B28/21 .event edge, V_$006D83C0[0], V_$006D83C0[1], V_$006D83C0[2], V_$006D83C0[3];
+E_006C8B28/22 .event edge, V_$006D83C0[4], V_$006D83C0[5], V_$006D83C0[6], V_$006D83C0[7];
+E_006C8B28/23 .event edge, V_$006D83C0[8], V_$006D83C0[9], V_$006D0B40[0], V_$006D0B40[1];
+E_006C8B28/24 .event edge, V_$006D0B40[2], V_$006D0B40[3], V_$006D0B40[4], V_$006D0B40[5];
+E_006C8B28/25 .event edge, V_$006D0B40[6], V_$006D0B40[7], V_$006D1010[0], V_$006D1360[0];
+E_006C8B28/26 .event edge, V_$006D8E20[0], V_$006D8E20[1], V_$006D8E20[2], V_$006D8E20[3];
+E_006C8B28/27 .event edge, V_$006D8E20[4], V_$006D8E20[5], V_$006D8E20[6], V_$006D8E20[7];
+E_006C8B28/28 .event edge, V_$006CC7A8[0], V_$006DB678[0], V_$006DCA38[0], V_$006DCA38[1];
+E_006C8B28/29 .event edge, V_$006DCA38[2], V_$006DCA38[3], V_$006DCA38[4], V_$006DCA38[5];
+E_006C8B28/30 .event edge, V_$006DCA38[6], V_$006DCA38[7];
+E_006C8B28 .event/or E_006C8B28/0, E_006C8B28/1, E_006C8B28/2, E_006C8B28/3, E_006C8B28/4, E_006C8B28/5, E_006C8B28/6, E_006C8B28/7, E_006C8B28/8, E_006C8B28/9, E_006C8B28/10, E_006C8B28/11, E_006C8B28/12, E_006C8B28/13, E_006C8B28/14, E_006C8B28/15, E_006C8B28/16, E_006C8B28/17, E_006C8B28/18, E_006C8B28/19, E_006C8B28/20, E_006C8B28/21, E_006C8B28/22, E_006C8B28/23, E_006C8B28/24, E_006C8B28/25, E_006C8B28/26, E_006C8B28/27, E_006C8B28/28, E_006C8B28/29, E_006C8B28/30;
+S_006D0E50 .scope module, "u_readWriteSDBlock" "readWriteSDBlock", S_006C1500;
+ .timescale -9;
+V_$006D1830 .var "CurrState_rwBlkSt", 5, 0;
+V_$006D1C70 .var "NextState_rwBlkSt", 5, 0;
+V_$006D2078 .net "blockAddr", 31, 0, V_$006DBED8[0], V_$006DBED8[1], V_$006DBED8[2], V_$006DBED8[3], V_$006DBED8[4], V_$006DBED8[5], V_$006DBED8[6], V_$006DBED8[7], V_$006DBED8[8], V_$006DBED8[9], V_$006DBED8[10], V_$006DBED8[11], V_$006DBED8[12], V_$006DBED8[13], V_$006DBED8[14], V_$006DBED8[15], V_$006DBED8[16], V_$006DBED8[17], V_$006DBED8[18], V_$006DBED8[19], V_$006DBED8[20], V_$006DBED8[21], V_$006DBED8[22], V_$006DBED8[23], V_$006DBED8[24], V_$006DBED8[25], V_$006DBED8[26], V_$006DBED8[27], V_$006DBED8[28], V_$006DBED8[29], V_$006DBED8[30], V_$006DBED8[31];
+V_$006D2790 .var "checkSumByte", 7, 0;
+V_$006D28F0 .net "clk", 0, 0, V_$006E3508[0];
+V_$006D2970 .var "cmdByte", 7, 0;
+V_$006D2AB0 .var "dataByte1", 7, 0;
+V_$006D2C18 .var "dataByte2", 7, 0;
+V_$006D2D78 .var "dataByte3", 7, 0;
+V_$006D3138 .var "dataByte4", 7, 0;
+V_$006D3278 .var "delCnt1", 7, 0;
+V_$006D3310 .var "delCnt2", 7, 0;
+V_$006D3370 .var "locRespByte", 7, 0;
+V_$006D32B0 .var "loopCnt", 8, 0;
+V_$006D3580 .var "next_checkSumByte", 7, 0;
+V_$006D3890 .var "next_cmdByte", 7, 0;
+V_$006D3B18 .var "next_dataByte1", 7, 0;
+V_$006D40D8 .var "next_dataByte2", 7, 0;
+V_$006D43B8 .var "next_dataByte3", 7, 0;
+V_$006D4F90 .var "next_dataByte4", 7, 0;
+V_$006D4750 .var "next_delCnt1", 7, 0;
+V_$006D4A70 .var "next_delCnt2", 7, 0;
+V_$006D4D10 .var "next_locRespByte", 7, 0;
+V_$006D5200 .var "next_loopCnt", 8, 0;
+V_$006D5DD8 .var "next_readError", 1, 0;
+V_$006D5F20 .var "next_readWriteSDBlockRdy", 0, 0;
+V_$006D5FB0 .var "next_rxDataRdyClr", 0, 0;
+V_$006D5520 .var "next_rxFifoData", 7, 0;
+V_$006D5800 .var "next_rxFifoWen", 0, 0;
+V_$006D5880 .var "next_sendCmdReq", 0, 0;
+V_$006D5948 .var "next_spiCS_n", 0, 0;
+V_$006D5A20 .var "next_timeOutCnt", 9, 0;
+V_$006D6008 .var "next_txDataOut", 7, 0;
+V_$006D62B0 .var "next_txDataWen", 0, 0;
+V_$006D6330 .var "next_txFifoRen", 0, 0;
+V_$006D63B0 .var "next_writeError", 1, 0;
+V_$006D6488 .var "readError", 1, 0;
+V_$006D6E38 .var "readWriteSDBlockRdy", 0, 0;
+V_$006D6EC0 .net "readWriteSDBlockReq", 1, 0, V_$006DBA28[0], V_$006DBA28[1];
+V_$006D6F98 .net "respByte", 7, 0, V_$006D0B40[0], V_$006D0B40[1], V_$006D0B40[2], V_$006D0B40[3], V_$006D0B40[4], V_$006D0B40[5], V_$006D0B40[6], V_$006D0B40[7];
+V_$006D6648 .net "respTout", 0, 0, V_$006D1010[0];
+V_$006D66A0 .net "rst", 0, 0, V_$006DCA00[0];
+V_$006D66D8 .net "rxDataIn", 7, 0, V_$006CB750[0], V_$006CB750[1], V_$006CB750[2], V_$006CB750[3], V_$006CB750[4], V_$006CB750[5], V_$006CB750[6], V_$006CB750[7];
+V_$006D68B8 .net "rxDataRdy", 0, 0, V_$006CB9B8[0];
+V_$006D6920 .var "rxDataRdyClr", 0, 0;
+V_$006D6958 .var "rxFifoData", 7, 0;
+V_$006D6B90 .var "rxFifoWen", 0, 0;
+V_$006D6C00 .net "sendCmdRdy", 0, 0, V_$006D1360[0];
+V_$006D6C38 .var "sendCmdReq", 0, 0;
+V_$006D6C70 .var "spiCS_n", 0, 0;
+V_$006D6CA8 .var "timeOutCnt", 9, 0;
+V_$006D7160 .net "txDataEmpty", 0, 0, V_$006CAB30[0];
+V_$006D7198 .net "txDataFull", 0, 0, V_$006CC7A8[0];
+V_$006D7208 .var "txDataOut", 7, 0;
+V_$006D72A8 .var "txDataWen", 0, 0;
+V_$006D73E8 .net "txFifoData", 7, 0, V_$006C7CF0[0], V_$006C7CF0[1], V_$006C7CF0[2], V_$006C7CF0[3], V_$006C7CF0[4], V_$006C7CF0[5], V_$006C7CF0[6], V_$006C7CF0[7];
+V_$006D7488 .var "txFifoRen", 0, 0;
+V_$006D75E8 .var "writeError", 1, 0;
+E_006D1810/0 .event edge, V_$006D1830[0], V_$006D1830[1], V_$006D1830[2], V_$006D1830[3];
+E_006D1810/1 .event edge, V_$006D1830[4], V_$006D1830[5], V_$006D6958[0], V_$006D6958[1];
+E_006D1810/2 .event edge, V_$006D6958[2], V_$006D6958[3], V_$006D6958[4], V_$006D6958[5];
+E_006D1810/3 .event edge, V_$006D6958[6], V_$006D6958[7], V_$006D6B90[0], V_$006D7488[0];
+E_006D1810/4 .event edge, V_$006D6C38[0], V_$006D2790[0], V_$006D2790[1], V_$006D2790[2];
+E_006D1810/5 .event edge, V_$006D2790[3], V_$006D2790[4], V_$006D2790[5], V_$006D2790[6];
+E_006D1810/6 .event edge, V_$006D2790[7], V_$006D3138[0], V_$006D3138[1], V_$006D3138[2];
+E_006D1810/7 .event edge, V_$006D3138[3], V_$006D3138[4], V_$006D3138[5], V_$006D3138[6];
+E_006D1810/8 .event edge, V_$006D3138[7], V_$006D2D78[0], V_$006D2D78[1], V_$006D2D78[2];
+E_006D1810/9 .event edge, V_$006D2D78[3], V_$006D2D78[4], V_$006D2D78[5], V_$006D2D78[6];
+E_006D1810/10 .event edge, V_$006D2D78[7], V_$006D2C18[0], V_$006D2C18[1], V_$006D2C18[2];
+E_006D1810/11 .event edge, V_$006D2C18[3], V_$006D2C18[4], V_$006D2C18[5], V_$006D2C18[6];
+E_006D1810/12 .event edge, V_$006D2C18[7], V_$006D2AB0[0], V_$006D2AB0[1], V_$006D2AB0[2];
+E_006D1810/13 .event edge, V_$006D2AB0[3], V_$006D2AB0[4], V_$006D2AB0[5], V_$006D2AB0[6];
+E_006D1810/14 .event edge, V_$006D2AB0[7], V_$006D2970[0], V_$006D2970[1], V_$006D2970[2];
+E_006D1810/15 .event edge, V_$006D2970[3], V_$006D2970[4], V_$006D2970[5], V_$006D2970[6];
+E_006D1810/16 .event edge, V_$006D2970[7], V_$006D6920[0], V_$006D72A8[0], V_$006D7208[0];
+E_006D1810/17 .event edge, V_$006D7208[1], V_$006D7208[2], V_$006D7208[3], V_$006D7208[4];
+E_006D1810/18 .event edge, V_$006D7208[5], V_$006D7208[6], V_$006D7208[7], V_$006D75E8[0];
+E_006D1810/19 .event edge, V_$006D75E8[1], V_$006D6488[0], V_$006D6488[1], V_$006D6C70[0];
+E_006D1810/20 .event edge, V_$006D6E38[0], V_$006D3310[0], V_$006D3310[1], V_$006D3310[2];
+E_006D1810/21 .event edge, V_$006D3310[3], V_$006D3310[4], V_$006D3310[5], V_$006D3310[6];
+E_006D1810/22 .event edge, V_$006D3310[7], V_$006D3278[0], V_$006D3278[1], V_$006D3278[2];
+E_006D1810/23 .event edge, V_$006D3278[3], V_$006D3278[4], V_$006D3278[5], V_$006D3278[6];
+E_006D1810/24 .event edge, V_$006D3278[7], V_$006CB750[0], V_$006CB750[1], V_$006CB750[2];
+E_006D1810/25 .event edge, V_$006CB750[3], V_$006CB750[4], V_$006CB750[5], V_$006CB750[6];
+E_006D1810/26 .event edge, V_$006CB750[7], V_$006CB9B8[0], V_$006D3370[0], V_$006D3370[1];
+E_006D1810/27 .event edge, V_$006D3370[2], V_$006D3370[3], V_$006D3370[4], V_$006D3370[5];
+E_006D1810/28 .event edge, V_$006D3370[6], V_$006D3370[7], V_$006D6CA8[0], V_$006D6CA8[1];
+E_006D1810/29 .event edge, V_$006D6CA8[2], V_$006D6CA8[3], V_$006D6CA8[4], V_$006D6CA8[5];
+E_006D1810/30 .event edge, V_$006D6CA8[6], V_$006D6CA8[7], V_$006D6CA8[8], V_$006D6CA8[9];
+E_006D1810/31 .event edge, V_$006CAB30[0], V_$006C7CF0[0], V_$006C7CF0[1], V_$006C7CF0[2];
+E_006D1810/32 .event edge, V_$006C7CF0[3], V_$006C7CF0[4], V_$006C7CF0[5], V_$006C7CF0[6];
+E_006D1810/33 .event edge, V_$006C7CF0[7], V_$006D32B0[0], V_$006D32B0[1], V_$006D32B0[2];
+E_006D1810/34 .event edge, V_$006D32B0[3], V_$006D32B0[4], V_$006D32B0[5], V_$006D32B0[6];
+E_006D1810/35 .event edge, V_$006D32B0[7], V_$006D32B0[8], V_$006CC7A8[0], V_$006DBA28[0];
+E_006D1810/36 .event edge, V_$006DBA28[1], V_$006D1360[0], V_$006DBED8[0], V_$006DBED8[1];
+E_006D1810/37 .event edge, V_$006DBED8[2], V_$006DBED8[3], V_$006DBED8[4], V_$006DBED8[5];
+E_006D1810/38 .event edge, V_$006DBED8[6], V_$006DBED8[7], V_$006DBED8[8], V_$006DBED8[9];
+E_006D1810/39 .event edge, V_$006DBED8[10], V_$006DBED8[11], V_$006DBED8[12], V_$006DBED8[13];
+E_006D1810/40 .event edge, V_$006DBED8[14], V_$006DBED8[15], V_$006DBED8[16], V_$006DBED8[17];
+E_006D1810/41 .event edge, V_$006DBED8[18], V_$006DBED8[19], V_$006DBED8[20], V_$006DBED8[21];
+E_006D1810/42 .event edge, V_$006DBED8[22], V_$006DBED8[23], V_$006DBED8[24], V_$006DBED8[25];
+E_006D1810/43 .event edge, V_$006DBED8[26], V_$006DBED8[27], V_$006DBED8[28], V_$006DBED8[29];
+E_006D1810/44 .event edge, V_$006DBED8[30], V_$006DBED8[31];
+E_006D1810 .event/or E_006D1810/0, E_006D1810/1, E_006D1810/2, E_006D1810/3, E_006D1810/4, E_006D1810/5, E_006D1810/6, E_006D1810/7, E_006D1810/8, E_006D1810/9, E_006D1810/10, E_006D1810/11, E_006D1810/12, E_006D1810/13, E_006D1810/14, E_006D1810/15, E_006D1810/16, E_006D1810/17, E_006D1810/18, E_006D1810/19, E_006D1810/20, E_006D1810/21, E_006D1810/22, E_006D1810/23, E_006D1810/24, E_006D1810/25, E_006D1810/26, E_006D1810/27, E_006D1810/28, E_006D1810/29, E_006D1810/30, E_006D1810/31, E_006D1810/32, E_006D1810/33, E_006D1810/34, E_006D1810/35, E_006D1810/36, E_006D1810/37, E_006D1810/38, E_006D1810/39, E_006D1810/40, E_006D1810/41, E_006D1810/42, E_006D1810/43, E_006D1810/44;
+S_006CC9E8 .scope module, "u_sendCmd" "sendCmd", S_006C1500;
+ .timescale -9;
+V_$006CCC20 .var "CurrState_sndCmdSt", 4, 0;
+V_$006CCD78 .var "NextState_sndCmdSt", 4, 0;
+V_$006CD0D8 .var "checkSumByte", 7, 0;
+V_$006CD390 .net "checkSumByte_1", 7, 0, V_$006D7F80[0], V_$006D7F80[1], V_$006D7F80[2], V_$006D7F80[3], V_$006D7F80[4], V_$006D7F80[5], V_$006D7F80[6], V_$006D7F80[7];
+V_$006CDF58 .net "checkSumByte_2", 7, 0, V_$006D2790[0], V_$006D2790[1], V_$006D2790[2], V_$006D2790[3], V_$006D2790[4], V_$006D2790[5], V_$006D2790[6], V_$006D2790[7];
+V_$006CD750 .net "clk", 0, 0, V_$006E3508[0];
+V_$006CD788 .var "cmdByte", 7, 0;
+V_$006CDA58 .net "cmdByte_1", 7, 0, V_$006D7B08[0], V_$006D7B08[1], V_$006D7B08[2], V_$006D7B08[3], V_$006D7B08[4], V_$006D7B08[5], V_$006D7B08[6], V_$006D7B08[7];
+V_$006CDCF8 .net "cmdByte_2", 7, 0, V_$006D2970[0], V_$006D2970[1], V_$006D2970[2], V_$006D2970[3], V_$006D2970[4], V_$006D2970[5], V_$006D2970[6], V_$006D2970[7];
+V_$006CE188 .var "dataByte1", 7, 0;
+V_$006CE428 .net "dataByte1_1", 7, 0, V_$006D7C70[0], V_$006D7C70[1], V_$006D7C70[2], V_$006D7C70[3], V_$006D7C70[4], V_$006D7C70[5], V_$006D7C70[6], V_$006D7C70[7];
+V_$006CE538 .net "dataByte1_2", 7, 0, V_$006D2AB0[0], V_$006D2AB0[1], V_$006D2AB0[2], V_$006D2AB0[3], V_$006D2AB0[4], V_$006D2AB0[5], V_$006D2AB0[6], V_$006D2AB0[7];
+V_$006CE7B0 .var "dataByte2", 7, 0;
+V_$006CE460 .net "dataByte2_1", 7, 0, V_$006D7DD0[0], V_$006D7DD0[1], V_$006D7DD0[2], V_$006D7DD0[3], V_$006D7DD0[4], V_$006D7DD0[5], V_$006D7DD0[6], V_$006D7DD0[7];
+V_$006CECD8 .net "dataByte2_2", 7, 0, V_$006D2C18[0], V_$006D2C18[1], V_$006D2C18[2], V_$006D2C18[3], V_$006D2C18[4], V_$006D2C18[5], V_$006D2C18[6], V_$006D2C18[7];
+V_$006CF158 .var "dataByte3", 7, 0;
+V_$006CF3F8 .net "dataByte3_1", 7, 0, V_$006D80C8[0], V_$006D80C8[1], V_$006D80C8[2], V_$006D80C8[3], V_$006D80C8[4], V_$006D80C8[5], V_$006D80C8[6], V_$006D80C8[7];
+V_$006CFF80 .net "dataByte3_2", 7, 0, V_$006D2D78[0], V_$006D2D78[1], V_$006D2D78[2], V_$006D2D78[3], V_$006D2D78[4], V_$006D2D78[5], V_$006D2D78[6], V_$006D2D78[7];
+V_$006CF750 .var "dataByte4", 7, 0;
+V_$006CFA18 .net "dataByte4_1", 7, 0, V_$006D8228[0], V_$006D8228[1], V_$006D8228[2], V_$006D8228[3], V_$006D8228[4], V_$006D8228[5], V_$006D8228[6], V_$006D8228[7];
+V_$006CFCB8 .net "dataByte4_2", 7, 0, V_$006D3138[0], V_$006D3138[1], V_$006D3138[2], V_$006D3138[3], V_$006D3138[4], V_$006D3138[5], V_$006D3138[6], V_$006D3138[7];
+V_$006D01A8 .var "next_respByte", 7, 0;
+V_$006D0488 .var "next_respTout", 0, 0;
+V_$006D04F0 .var "next_rxDataRdyClr", 0, 0;
+V_$006D0E18 .var "next_sendCmdRdy", 0, 0;
+V_$006D0F08 .var "next_timeOutCnt", 9, 0;
+V_$006D07C0 .var "next_txDataOut", 7, 0;
+V_$006D0AC0 .var "next_txDataWen", 0, 0;
+V_$006D0B40 .var "respByte", 7, 0;
+V_$006D1010 .var "respTout", 0, 0;
+V_$006D1090 .net "rst", 0, 0, V_$006DCA00[0];
+V_$006D1150 .net "rxDataIn", 7, 0, V_$006CB750[0], V_$006CB750[1], V_$006CB750[2], V_$006CB750[3], V_$006CB750[4], V_$006CB750[5], V_$006CB750[6], V_$006CB750[7];
+V_$006D12B0 .net "rxDataRdy", 0, 0, V_$006CB9B8[0];
+V_$006D1308 .var "rxDataRdyClr", 0, 0;
+V_$006D1360 .var "sendCmdRdy", 0, 0;
+V_$006D1398 .var "sendCmdReq", 0, 0;
+V_$006D13D0 .net "sendCmdReq1", 0, 0, V_$006DAD18[0];
+V_$006D1428 .net "sendCmdReq2", 0, 0, V_$006D6C38[0];
+V_$006D14A8 .var "timeOutCnt", 9, 0;
+V_$006D15B8 .net "txDataEmpty", 0, 0, V_$006CAB30[0];
+V_$006D1610 .net "txDataFull", 0, 0, V_$006CC7A8[0];
+V_$006D1678 .var "txDataOut", 7, 0;
+V_$006D17B8 .var "txDataWen", 0, 0;
+E_006CCA58/0 .event edge, V_$006CCC20[0], V_$006CCC20[1], V_$006CCC20[2], V_$006CCC20[3];
+E_006CCA58/1 .event edge, V_$006CCC20[4], V_$006D1360[0], V_$006D1010[0], V_$006D1308[0];
+E_006CCA58/2 .event edge, V_$006D1678[0], V_$006D1678[1], V_$006D1678[2], V_$006D1678[3];
+E_006CCA58/3 .event edge, V_$006D1678[4], V_$006D1678[5], V_$006D1678[6], V_$006D1678[7];
+E_006CCA58/4 .event edge, V_$006D17B8[0], V_$006D1398[0], V_$006CD788[0], V_$006CD788[1];
+E_006CCA58/5 .event edge, V_$006CD788[2], V_$006CD788[3], V_$006CD788[4], V_$006CD788[5];
+E_006CCA58/6 .event edge, V_$006CD788[6], V_$006CD788[7], V_$006CD0D8[0], V_$006CD0D8[1];
+E_006CCA58/7 .event edge, V_$006CD0D8[2], V_$006CD0D8[3], V_$006CD0D8[4], V_$006CD0D8[5];
+E_006CCA58/8 .event edge, V_$006CD0D8[6], V_$006CD0D8[7], V_$006CAB30[0], V_$006CF750[0];
+E_006CCA58/9 .event edge, V_$006CF750[1], V_$006CF750[2], V_$006CF750[3], V_$006CF750[4];
+E_006CCA58/10 .event edge, V_$006CF750[5], V_$006CF750[6], V_$006CF750[7], V_$006CF158[0];
+E_006CCA58/11 .event edge, V_$006CF158[1], V_$006CF158[2], V_$006CF158[3], V_$006CF158[4];
+E_006CCA58/12 .event edge, V_$006CF158[5], V_$006CF158[6], V_$006CF158[7], V_$006CE188[0];
+E_006CCA58/13 .event edge, V_$006CE188[1], V_$006CE188[2], V_$006CE188[3], V_$006CE188[4];
+E_006CCA58/14 .event edge, V_$006CE188[5], V_$006CE188[6], V_$006CE188[7], V_$006D0B40[0];
+E_006CCA58/15 .event edge, V_$006D0B40[1], V_$006D0B40[2], V_$006D0B40[3], V_$006D0B40[4];
+E_006CCA58/16 .event edge, V_$006D0B40[5], V_$006D0B40[6], V_$006D0B40[7], V_$006CB750[0];
+E_006CCA58/17 .event edge, V_$006CB750[1], V_$006CB750[2], V_$006CB750[3], V_$006CB750[4];
+E_006CCA58/18 .event edge, V_$006CB750[5], V_$006CB750[6], V_$006CB750[7], V_$006CB9B8[0];
+E_006CCA58/19 .event edge, V_$006D14A8[0], V_$006D14A8[1], V_$006D14A8[2], V_$006D14A8[3];
+E_006CCA58/20 .event edge, V_$006D14A8[4], V_$006D14A8[5], V_$006D14A8[6], V_$006D14A8[7];
+E_006CCA58/21 .event edge, V_$006D14A8[8], V_$006D14A8[9], V_$006CE7B0[0], V_$006CE7B0[1];
+E_006CCA58/22 .event edge, V_$006CE7B0[2], V_$006CE7B0[3], V_$006CE7B0[4], V_$006CE7B0[5];
+E_006CCA58/23 .event edge, V_$006CE7B0[6], V_$006CE7B0[7], V_$006CC7A8[0];
+E_006CCA58 .event/or E_006CCA58/0, E_006CCA58/1, E_006CCA58/2, E_006CCA58/3, E_006CCA58/4, E_006CCA58/5, E_006CCA58/6, E_006CCA58/7, E_006CCA58/8, E_006CCA58/9, E_006CCA58/10, E_006CCA58/11, E_006CCA58/12, E_006CCA58/13, E_006CCA58/14, E_006CCA58/15, E_006CCA58/16, E_006CCA58/17, E_006CCA58/18, E_006CCA58/19, E_006CCA58/20, E_006CCA58/21, E_006CCA58/22, E_006CCA58/23;
+E_006CCC00 .event edge, V_$006D6C38[0], V_$006DAD18[0];
+S_006CABC8 .scope module, "u_spiTxRxData" "spiTxRxData", S_006C1500;
+ .timescale -9;
+V_$006CBE48 .net "clk", 0, 0, V_$006E3508[0];
+V_$006CBE80 .net "rst", 0, 0, V_$006DCA00[0];
+V_$006CBEB8 .net "rx1DataRdyClr", 0, 0, V_$006D6920[0];
+V_$006CBF60 .net "rx2DataRdyClr", 0, 0, V_$006D1308[0];
+V_$006CB4F8 .net "rx3DataRdyClr", 0, 0, V_$006DACA8[0];
+V_$006CB568 .net "rx4DataRdyClr", 0, 0, V_$006DAC70[0];
+V_$006CB5F0 .net "rxDataIn", 7, 0, V_$006C90B8[0], V_$006C90B8[1], V_$006C90B8[2], V_$006C90B8[3], V_$006C90B8[4], V_$006C90B8[5], V_$006C90B8[6], V_$006C90B8[7];
+V_$006CB750 .var "rxDataOut", 7, 0;
+V_$006CB9B8 .var "rxDataRdy", 0, 0;
+V_$006CBA20 .net "rxDataRdySet", 0, 0, V_$006CA688[0];
+V_$006CBA78 .net "tx1DataIn", 7, 0, V_$006D7208[0], V_$006D7208[1], V_$006D7208[2], V_$006D7208[3], V_$006D7208[4], V_$006D7208[5], V_$006D7208[6], V_$006D7208[7];
+V_$006CBD38 .net "tx1DataWEn", 0, 0, V_$006D72A8[0];
+V_$006CC038 .net "tx2DataIn", 7, 0, V_$006D1678[0], V_$006D1678[1], V_$006D1678[2], V_$006D1678[3], V_$006D1678[4], V_$006D1678[5], V_$006D1678[6], V_$006D1678[7];
+V_$006CBAB0 .net "tx2DataWEn", 0, 0, V_$006D17B8[0];
+V_$006CC2F8 .net "tx3DataIn", 7, 0, V_$006D71D0[0], V_$006D71D0[1], V_$006D71D0[2], V_$006D71D0[3], V_$006D71D0[4], V_$006D71D0[5], V_$006D71D0[6], V_$006D71D0[7];
+V_$006CCE78 .net "tx3DataWEn", 0, 0, V_$006DB4B8[0];
+V_$006CCF00 .net "tx4DataIn", 7, 0, V_$006DD220[0], V_$006DD220[1], V_$006DD220[2], V_$006DD220[3], V_$006DD220[4], V_$006DD220[5], V_$006DD220[6], V_$006DD220[7];
+V_$006CC720 .net "tx4DataWEn", 0, 0, V_$006DBDB0[0];
+V_$006CC7A8 .var "txDataFull", 0, 0;
+V_$006CC800 .net "txDataFullClr", 0, 0, V_$006CAC78[0];
+V_$006CC858 .var "txDataOut", 7, 0;
+S_006C9128 .scope module, "u_readWriteSPIWireData" "readWriteSPIWireData", S_006C1500;
+ .timescale -9;
+V_$006C9298 .var "CurrState_rwSPISt", 1, 0;
+V_$006C92D0 .var "NextState_rwSPISt", 1, 0;
+V_$006C9BE0 .var "bitCnt", 3, 0;
+V_$006C9C18 .net "clk", 0, 0, V_$006E3508[0];
+V_$006C9CC8 .net "clkDelay", 7, 0, V_$006DB188[0], V_$006DB188[1], V_$006DB188[2], V_$006DB188[3], V_$006DB188[4], V_$006DB188[5], V_$006DB188[6], V_$006DB188[7];
+V_$006C9D00 .var "clkDelayCnt", 7, 0;
+V_$006C9FA0 .var "next_bitCnt", 3, 0;
+V_$006C9440 .var "next_clkDelayCnt", 7, 0;
+V_$006C9660 .var "next_rxDataOut", 7, 0;
+V_$006C9938 .var "next_rxDataRdySet", 0, 0;
+V_$006C99C0 .var "next_rxDataShiftReg", 7, 0;
+V_$006CA118 .var "next_spiClkOut", 0, 0;
+V_$006CA198 .var "next_spiDataOut", 0, 0;
+V_$006C99F8 .var "next_txDataEmpty", 0, 0;
+V_$006CA268 .var "next_txDataFullClr", 0, 0;
+V_$006CA2F0 .var "next_txDataShiftReg", 7, 0;
+V_$006CAEC0 .net "rst", 0, 0, V_$006DCA00[0];
+V_$006C90B8 .var "rxDataOut", 7, 0;
+V_$006CA688 .var "rxDataRdySet", 0, 0;
+V_$006CA718 .var "rxDataShiftReg", 7, 0;
+V_$006CAA28 .var "spiClkOut", 0, 0;
+V_$006CAA80 .net "spiDataIn", 0, 0, V_$00644A08[0];
+V_$006CAAD8 .var "spiDataOut", 0, 0;
+V_$006CAB30 .var "txDataEmpty", 0, 0;
+V_$006CAB90 .net "txDataFull", 0, 0, V_$006CC7A8[0];
+V_$006CAC78 .var "txDataFullClr", 0, 0;
+V_$006CAD08 .net "txDataIn", 7, 0, V_$006CC858[0], V_$006CC858[1], V_$006CC858[2], V_$006CC858[3], V_$006CC858[4], V_$006CC858[5], V_$006CC858[6], V_$006CC858[7];
+V_$006CB278 .var "txDataShiftReg", 7, 0;
+E_006C8530/0 .event edge, V_$006C9298[0], V_$006C9298[1], V_$006C90B8[0], V_$006C90B8[1];
+E_006C8530/1 .event edge, V_$006C90B8[2], V_$006C90B8[3], V_$006C90B8[4], V_$006C90B8[5];
+E_006C8530/2 .event edge, V_$006C90B8[6], V_$006C90B8[7], V_$006CAAD8[0], V_$006CAA28[0];
+E_006C8530/3 .event edge, V_$006CAC78[0], V_$006CAB30[0], V_$006CA688[0], V_$00644A08[0];
+E_006C8530/4 .event edge, V_$006CA718[0], V_$006CA718[1], V_$006CA718[2], V_$006CA718[3];
+E_006C8530/5 .event edge, V_$006CA718[4], V_$006CA718[5], V_$006CA718[6], V_$006CA718[7];
+E_006C8530/6 .event edge, V_$006C9BE0[0], V_$006C9BE0[1], V_$006C9BE0[2], V_$006C9BE0[3];
+E_006C8530/7 .event edge, V_$006CB278[0], V_$006CB278[1], V_$006CB278[2], V_$006CB278[3];
+E_006C8530/8 .event edge, V_$006CB278[4], V_$006CB278[5], V_$006CB278[6], V_$006CB278[7];
+E_006C8530/9 .event edge, V_$006DB188[0], V_$006DB188[1], V_$006DB188[2], V_$006DB188[3];
+E_006C8530/10 .event edge, V_$006DB188[4], V_$006DB188[5], V_$006DB188[6], V_$006DB188[7];
+E_006C8530/11 .event edge, V_$006C9D00[0], V_$006C9D00[1], V_$006C9D00[2], V_$006C9D00[3];
+E_006C8530/12 .event edge, V_$006C9D00[4], V_$006C9D00[5], V_$006C9D00[6], V_$006C9D00[7];
+E_006C8530/13 .event edge, V_$006CC858[0], V_$006CC858[1], V_$006CC858[2], V_$006CC858[3];
+E_006C8530/14 .event edge, V_$006CC858[4], V_$006CC858[5], V_$006CC858[6], V_$006CC858[7];
+E_006C8530/15 .event edge, V_$006CC7A8[0];
+E_006C8530 .event/or E_006C8530/0, E_006C8530/1, E_006C8530/2, E_006C8530/3, E_006C8530/4, E_006C8530/5, E_006C8530/6, E_006C8530/7, E_006C8530/8, E_006C8530/9, E_006C8530/10, E_006C8530/11, E_006C8530/12, E_006C8530/13, E_006C8530/14, E_006C8530/15;
+S_006C4F78 .scope module, "u_txFifo" "TxFifo", S_006C1500;
+ .timescale -9;
+V_$006C86B0 .net "busAddress", 2, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2];
+V_$006C5188 .net "busClk", 0, 0, V_$006DF4B8[0];
+V_$006C5150 .net "busDataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+V_$006C5118 .net "busDataOut", 7, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
+V_$006C8968 .net "busFifoSelect", 0, 0, V_$006DEA20[0];
+V_$006C89E0 .net "busStrobe_i", 0, 0, V_$006607B0[0];
+V_$006C59A8 .net "busWriteEn", 0, 0, V_$006A5C98[0];
+V_$006C5A40 .net "fifoDataOut", 7, 0, V_$006C7CF0[0], V_$006C7CF0[1], V_$006C7CF0[2], V_$006C7CF0[3], V_$006C7CF0[4], V_$006C7CF0[5], V_$006C7CF0[6], V_$006C7CF0[7];
+V_$006C8B48 .net "fifoEmpty", 0, 0, V_$006C7FF8[0];
+V_$006C8BA0 .net "fifoFull", 0, 0, V_$006C8098[0];
+V_$006C8BF8 .net "fifoREn", 0, 0, V_$006D7488[0];
+V_$006C8C68 .net "fifoWEn", 0, 0, V_$006C54B0[0];
+V_$006C8CD8 .net "forceEmptySyncToBusClk", 0, 0, V_$006C5548[0];
+V_$006C8C30 .net "forceEmptySyncToSpiClk", 0, 0, V_$006C55B8[0];
+V_$006C8D10 .net "numElementsInFifo", 15, 0, V_$006C82B8[0], V_$006C82B8[1], V_$006C82B8[2], V_$006C82B8[3], V_$006C82B8[4], V_$006C82B8[5], V_$006C82B8[6], V_$006C82B8[7], V_$006C82B8[8], V_$006C82B8[9], V_$006C82B8[10], V_$006C82B8[11], V_$006C82B8[12], V_$006C82B8[13], V_$006C82B8[14], V_$006C82B8[15];
+V_$006C9048 .net "rstSyncToBusClk", 0, 0, V_$006DC940[0];
+V_$006C9080 .net "rstSyncToSpiClk", 0, 0, V_$006DCA00[0];
+V_$006C90F0 .net "spiSysClk", 0, 0, V_$006E3508[0];
+S_006C5A78 .scope module, "u_fifo" "fifoRTL", S_006C4F78;
+ .timescale -9;
+V_$006C52C8 .var "bufferCnt", 9, 0;
+V_$006C5290 .var "bufferInIndex", 9, 0;
+V_$006C5258 .var "bufferInIndexSyncToRdClk", 9, 0;
+V_$006C7270 .var "bufferInIndexToMem", 8, 0;
+V_$006C73C8 .var "bufferOutIndex", 9, 0;
+V_$006C7F50 .var "bufferOutIndexSyncToWrClk", 9, 0;
+V_$006C77D8 .var "bufferOutIndexToMem", 8, 0;
+V_$006C7978 .net "dataFromMem", 7, 0, V_$006C53E0[0], V_$006C53E0[1], V_$006C53E0[2], V_$006C53E0[3], V_$006C53E0[4], V_$006C53E0[5], V_$006C53E0[6], V_$006C53E0[7];
+V_$006C7AD8 .net "dataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+V_$006C7CF0 .var "dataOut", 7, 0;
+V_$006C7FF8 .var "fifoEmpty", 0, 0;
+V_$006C8098 .var "fifoFull", 0, 0;
+V_$006C8118 .net "fifoREn", 0, 0, V_$006D7488[0];
+V_$006C8030 .var "fifoREnDelayed", 0, 0;
+V_$006C81A0 .net "fifoWEn", 0, 0, V_$006C54B0[0];
+V_$006C81D8 .net "forceEmptySyncToRdClk", 0, 0, V_$006C55B8[0];
+V_$006C8210 .net "forceEmptySyncToWrClk", 0, 0, V_$006C5548[0];
+V_$006C82B8 .var "numElementsInFifo", 15, 0;
+V_$006C8550 .net "rdClk", 0, 0, V_$006E3508[0];
+V_$006C85E0 .net "rstSyncToRdClk", 0, 0, V_$006DCA00[0];
+V_$006C8618 .net "rstSyncToWrClk", 0, 0, V_$006DC940[0];
+V_$006C5628 .net "wrClk", 0, 0, V_$006DF4B8[0];
+E_005F17F0/0 .event edge, V_$006C73C8[0], V_$006C73C8[1], V_$006C73C8[2], V_$006C73C8[3];
+E_005F17F0/1 .event edge, V_$006C73C8[4], V_$006C73C8[5], V_$006C73C8[6], V_$006C73C8[7];
+E_005F17F0/2 .event edge, V_$006C73C8[8], V_$006C73C8[9], V_$006C5290[0], V_$006C5290[1];
+E_005F17F0/3 .event edge, V_$006C5290[2], V_$006C5290[3], V_$006C5290[4], V_$006C5290[5];
+E_005F17F0/4 .event edge, V_$006C5290[6], V_$006C5290[7], V_$006C5290[8], V_$006C5290[9];
+E_005F17F0 .event/or E_005F17F0/0, E_005F17F0/1, E_005F17F0/2, E_005F17F0/3, E_005F17F0/4;
+E_003DDD60/0 .event edge, V_$006C73C8[0], V_$006C73C8[1], V_$006C73C8[2], V_$006C73C8[3];
+E_003DDD60/1 .event edge, V_$006C73C8[4], V_$006C73C8[5], V_$006C73C8[6], V_$006C73C8[7];
+E_003DDD60/2 .event edge, V_$006C73C8[8], V_$006C73C8[9], V_$006C5258[0], V_$006C5258[1];
+E_003DDD60/3 .event edge, V_$006C5258[2], V_$006C5258[3], V_$006C5258[4], V_$006C5258[5];
+E_003DDD60/4 .event edge, V_$006C5258[6], V_$006C5258[7], V_$006C5258[8], V_$006C5258[9];
+E_003DDD60 .event/or E_003DDD60/0, E_003DDD60/1, E_003DDD60/2, E_003DDD60/3, E_003DDD60/4;
+S_006C5C68 .scope module, "u_dpMem_dc" "dpMem_dc", S_006C5A78;
+ .timescale -9;
+V_$006C5B38 .net "addrIn", 8, 0, V_$006C7270[0], V_$006C7270[1], V_$006C7270[2], V_$006C7270[3], V_$006C7270[4], V_$006C7270[5], V_$006C7270[6], V_$006C7270[7], V_$006C7270[8];
+V_$006C6048 .net "addrOut", 8, 0, V_$006C77D8[0], V_$006C77D8[1], V_$006C77D8[2], V_$006C77D8[3], V_$006C77D8[4], V_$006C77D8[5], V_$006C77D8[6], V_$006C77D8[7], V_$006C77D8[8];
+V_$006C6340 .net "dataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+V_$006C53E0 .var "dataOut", 7, 0;
+V_$006C53A8 .net "rdClk", 0, 0, V_$006E3508[0];
+V_$006C5370 .net "readEn", 0, 0, V_$006D7488[0];
+V_$006C5338 .net "wrClk", 0, 0, V_$006DF4B8[0];
+V_$006C5300 .net "writeEn", 0, 0, V_$006C54B0[0];
+M_$006C68E0 .mem "buffer", 7,0, 0,511;
+S_006C5098 .scope module, "u_TxfifoBI" "TxfifoBI", S_006C4F78;
+ .timescale -9;
+V_$006C5060 .net "address", 2, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2];
+V_$006C51C0 .net "busClk", 0, 0, V_$006DF4B8[0];
+V_$006C51F8 .net "busDataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+V_$006C5418 .net "busDataOut", 7, 0, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>, C<0>;
+V_$006C5478 .net "fifoSelect", 0, 0, V_$006DEA20[0];
+V_$006C54B0 .var "fifoWEn", 0, 0;
+V_$006C54E8 .var "forceEmpty", 0, 0;
+V_$006C5548 .var "forceEmptyShift", 5, 0;
+V_$006C5580 .net "forceEmptySyncToBusClk", 0, 0, V_$006C5548[0];
+V_$006C55B8 .var "forceEmptySyncToSpiClk", 0, 0;
+V_$006C55F0 .var "forceEmptySyncToSpiClkFirst", 0, 0;
+V_$006C5660 .net "numElementsInFifo", 15, 0, V_$006C82B8[0], V_$006C82B8[1], V_$006C82B8[2], V_$006C82B8[3], V_$006C82B8[4], V_$006C82B8[5], V_$006C82B8[6], V_$006C82B8[7], V_$006C82B8[8], V_$006C82B8[9], V_$006C82B8[10], V_$006C82B8[11], V_$006C82B8[12], V_$006C82B8[13], V_$006C82B8[14], V_$006C82B8[15];
+V_$006C58F0 .net "rstSyncToBusClk", 0, 0, V_$006DC940[0];
+V_$006C5928 .net "spiSysClk", 0, 0, V_$006E3508[0];
+V_$006C4F40 .net "strobe_i", 0, 0, V_$006607B0[0];
+V_$006C5A08 .net "writeEn", 0, 0, V_$006A5C98[0];
+E_006C45B0/0 .event edge, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3];
+E_006C45B0/1 .event edge, V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+E_006C45B0/2 .event edge, V_$006DEA20[0], V_$006607B0[0], V_$006A5C98[0], V_$0068AE60[0];
+E_006C45B0/3 .event edge, V_$0068AE60[1], V_$0068AE60[2];
+E_006C45B0 .event/or E_006C45B0/0, E_006C45B0/1, E_006C45B0/2, E_006C45B0/3;
+S_006C1570 .scope module, "u_rxFifo" "RxFifo", S_006C1500;
+ .timescale -9;
+V_$006C4760 .net "busAddress", 2, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2];
+V_$006C4798 .net "busClk", 0, 0, V_$006DF4B8[0];
+V_$006C4660 .net "busDataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+V_$006C4840 .net "busDataOut", 7, 0, V_$006A5290[0], V_$006A5290[1], V_$006A5290[2], V_$006A5290[3], V_$006A5290[4], V_$006A5290[5], V_$006A5290[6], V_$006A5290[7];
+V_$006C48A0 .net "busFifoSelect", 0, 0, V_$006DE9B8[0];
+V_$006C48D8 .net "busStrobe_i", 0, 0, V_$006607B0[0];
+V_$006C4910 .net "busWriteEn", 0, 0, V_$006A5C98[0];
+V_$006C4970 .net "dataFromFifoToBus", 7, 0, V_$006C4090[0], V_$006C4090[1], V_$006C4090[2], V_$006C4090[3], V_$006C4090[4], V_$006C4090[5], V_$006C4090[6], V_$006C4090[7];
+V_$006C49D0 .net "fifoDataIn", 7, 0, V_$006D6958[0], V_$006D6958[1], V_$006D6958[2], V_$006D6958[3], V_$006D6958[4], V_$006D6958[5], V_$006D6958[6], V_$006D6958[7];
+V_$006C4A08 .net "fifoEmpty", 0, 0, V_$006C41F0[0];
+V_$006C4A40 .net "fifoFull", 0, 0, V_$006C4260[0];
+V_$006C4AB0 .net "fifoREn", 0, 0, V_$006A76F0[0];
+V_$006C4B20 .net "fifoWEn", 0, 0, V_$006D6B90[0];
+V_$006C4A78 .net "forceEmptySyncToBusClk", 0, 0, V_$00666B38[0];
+V_$006C4B58 .net "forceEmptySyncToSpiClk", 0, 0, V_$006A9EA0[0];
+V_$006C4BD8 .net "numElementsInFifo", 15, 0, V_$006C4398[0], V_$006C4398[1], V_$006C4398[2], V_$006C4398[3], V_$006C4398[4], V_$006C4398[5], V_$006C4398[6], V_$006C4398[7], V_$006C4398[8], V_$006C4398[9], V_$006C4398[10], V_$006C4398[11], V_$006C4398[12], V_$006C4398[13], V_$006C4398[14], V_$006C4398[15];
+V_$006C4E68 .net "rstSyncToBusClk", 0, 0, V_$006DC940[0];
+V_$006C4ED0 .net "rstSyncToSpiClk", 0, 0, V_$006DCA00[0];
+V_$006C4F08 .net "spiSysClk", 0, 0, V_$006E3508[0];
+S_006C2110 .scope module, "u_fifo" "fifoRTL", S_006C1570;
+ .timescale -9;
+V_$006C2810 .var "bufferCnt", 9, 0;
+V_$006C2B10 .var "bufferInIndex", 9, 0;
+V_$006C3170 .var "bufferInIndexSyncToRdClk", 9, 0;
+V_$006C3508 .var "bufferInIndexToMem", 8, 0;
+V_$006C3600 .var "bufferOutIndex", 9, 0;
+V_$006C3D38 .var "bufferOutIndexSyncToWrClk", 9, 0;
+V_$006C3740 .var "bufferOutIndexToMem", 8, 0;
+V_$006C3898 .net "dataFromMem", 7, 0, V_$00639738[0], V_$00639738[1], V_$00639738[2], V_$00639738[3], V_$00639738[4], V_$00639738[5], V_$00639738[6], V_$00639738[7];
+V_$006C39F8 .net "dataIn", 7, 0, V_$006D6958[0], V_$006D6958[1], V_$006D6958[2], V_$006D6958[3], V_$006D6958[4], V_$006D6958[5], V_$006D6958[6], V_$006D6958[7];
+V_$006C4090 .var "dataOut", 7, 0;
+V_$006C41F0 .var "fifoEmpty", 0, 0;
+V_$006C4260 .var "fifoFull", 0, 0;
+V_$006C4298 .net "fifoREn", 0, 0, V_$006A76F0[0];
+V_$006C4228 .var "fifoREnDelayed", 0, 0;
+V_$006C42D0 .net "fifoWEn", 0, 0, V_$006D6B90[0];
+V_$006C4308 .net "forceEmptySyncToRdClk", 0, 0, V_$00666B38[0];
+V_$006C4360 .net "forceEmptySyncToWrClk", 0, 0, V_$006A9EA0[0];
+V_$006C4398 .var "numElementsInFifo", 15, 0;
+V_$006C45D0 .net "rdClk", 0, 0, V_$006DF4B8[0];
+V_$006C4698 .net "rstSyncToRdClk", 0, 0, V_$006DC940[0];
+V_$006C46F0 .net "rstSyncToWrClk", 0, 0, V_$006DCA00[0];
+V_$006C4728 .net "wrClk", 0, 0, V_$006E3508[0];
+E_005EEF18/0 .event edge, V_$006C3600[0], V_$006C3600[1], V_$006C3600[2], V_$006C3600[3];
+E_005EEF18/1 .event edge, V_$006C3600[4], V_$006C3600[5], V_$006C3600[6], V_$006C3600[7];
+E_005EEF18/2 .event edge, V_$006C3600[8], V_$006C3600[9], V_$006C2B10[0], V_$006C2B10[1];
+E_005EEF18/3 .event edge, V_$006C2B10[2], V_$006C2B10[3], V_$006C2B10[4], V_$006C2B10[5];
+E_005EEF18/4 .event edge, V_$006C2B10[6], V_$006C2B10[7], V_$006C2B10[8], V_$006C2B10[9];
+E_005EEF18 .event/or E_005EEF18/0, E_005EEF18/1, E_005EEF18/2, E_005EEF18/3, E_005EEF18/4;
+E_005EE048/0 .event edge, V_$006C3600[0], V_$006C3600[1], V_$006C3600[2], V_$006C3600[3];
+E_005EE048/1 .event edge, V_$006C3600[4], V_$006C3600[5], V_$006C3600[6], V_$006C3600[7];
+E_005EE048/2 .event edge, V_$006C3600[8], V_$006C3600[9], V_$006C3170[0], V_$006C3170[1];
+E_005EE048/3 .event edge, V_$006C3170[2], V_$006C3170[3], V_$006C3170[4], V_$006C3170[5];
+E_005EE048/4 .event edge, V_$006C3170[6], V_$006C3170[7], V_$006C3170[8], V_$006C3170[9];
+E_005EE048 .event/or E_005EE048/0, E_005EE048/1, E_005EE048/2, E_005EE048/3, E_005EE048/4;
+S_006C2228 .scope module, "u_dpMem_dc" "dpMem_dc", S_006C2110;
+ .timescale -9;
+V_$006AC480 .net "addrIn", 8, 0, V_$006C3508[0], V_$006C3508[1], V_$006C3508[2], V_$006C3508[3], V_$006C3508[4], V_$006C3508[5], V_$006C3508[6], V_$006C3508[7], V_$006C3508[8];
+V_$006AC4E0 .net "addrOut", 8, 0, V_$006C3740[0], V_$006C3740[1], V_$006C3740[2], V_$006C3740[3], V_$006C3740[4], V_$006C3740[5], V_$006C3740[6], V_$006C3740[7], V_$006C3740[8];
+V_$006AD2C8 .net "dataIn", 7, 0, V_$006D6958[0], V_$006D6958[1], V_$006D6958[2], V_$006D6958[3], V_$006D6958[4], V_$006D6958[5], V_$006D6958[6], V_$006D6958[7];
+V_$00639738 .var "dataOut", 7, 0;
+V_$00615800 .net "rdClk", 0, 0, V_$006DF4B8[0];
+V_$005E3868 .net "readEn", 0, 0, V_$006A76F0[0];
+V_$006C2778 .net "wrClk", 0, 0, V_$006E3508[0];
+V_$006C27D8 .net "writeEn", 0, 0, V_$006D6B90[0];
+M_$005D1E00 .mem "buffer", 7,0, 0,511;
+S_006C1658 .scope module, "u_RxfifoBI" "RxfifoBI", S_006C1570;
+ .timescale -9;
+V_$006A4EE8 .net "address", 2, 0, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2];
+V_$006A6E08 .net "busClk", 0, 0, V_$006DF4B8[0];
+V_$0065A890 .net "busDataIn", 7, 0, V_$0069AA18[0], V_$0069AA18[1], V_$0069AA18[2], V_$0069AA18[3], V_$0069AA18[4], V_$0069AA18[5], V_$0069AA18[6], V_$0069AA18[7];
+V_$006A5290 .var "busDataOut", 7, 0;
+V_$00660078 .net "fifoDataIn", 7, 0, V_$006C4090[0], V_$006C4090[1], V_$006C4090[2], V_$006C4090[3], V_$006C4090[4], V_$006C4090[5], V_$006C4090[6], V_$006C4090[7];
+V_$006A76F0 .var "fifoREn", 0, 0;
+V_$006A7BA8 .net "fifoSelect", 0, 0, V_$006DE9B8[0];
+V_$006A7DD8 .var "forceEmpty", 0, 0;
+V_$00666B38 .var "forceEmptyShift", 5, 0;
+V_$006A8D90 .net "forceEmptySyncToBusClk", 0, 0, V_$00666B38[0];
+V_$006A9EA0 .var "forceEmptySyncToSpiClk", 0, 0;
+V_$006A8850 .var "forceEmptySyncToUsbClkFirst", 0, 0;
+V_$00666678 .net "numElementsInFifo", 15, 0, V_$006C4398[0], V_$006C4398[1], V_$006C4398[2], V_$006C4398[3], V_$006C4398[4], V_$006C4398[5], V_$006C4398[6], V_$006C4398[7], V_$006C4398[8], V_$006C4398[9], V_$006C4398[10], V_$006C4398[11], V_$006C4398[12], V_$006C4398[13], V_$006C4398[14], V_$006C4398[15];
+V_$0065D6E8 .net "rstSyncToBusClk", 0, 0, V_$006DC940[0];
+V_$006AACA0 .net "spiSysClk", 0, 0, V_$006E3508[0];
+V_$006AA8A8 .net "strobe_i", 0, 0, V_$006607B0[0];
+V_$006685B0 .net "writeEn", 0, 0, V_$006A5C98[0];
+E_003DDB00/0 .event edge, V_$006DE9B8[0], V_$006607B0[0], V_$006A5C98[0], V_$0068AE60[0];
+E_003DDB00/1 .event edge, V_$0068AE60[1], V_$0068AE60[2];
+E_003DDB00 .event/or E_003DDB00/0, E_003DDB00/1;
+E_003DDC30/0 .event edge, V_$006C4398[0], V_$006C4398[1], V_$006C4398[2], V_$006C4398[3];
+E_003DDC30/1 .event edge, V_$006C4398[4], V_$006C4398[5], V_$006C4398[6], V_$006C4398[7];
+E_003DDC30/2 .event edge, V_$006C4398[8], V_$006C4398[9], V_$006C4398[10], V_$006C4398[11];
+E_003DDC30/3 .event edge, V_$006C4398[12], V_$006C4398[13], V_$006C4398[14], V_$006C4398[15];
+E_003DDC30/4 .event edge, V_$006C4090[0], V_$006C4090[1], V_$006C4090[2], V_$006C4090[3];
+E_003DDC30/5 .event edge, V_$006C4090[4], V_$006C4090[5], V_$006C4090[6], V_$006C4090[7];
+E_003DDC30/6 .event edge, V_$0068AE60[0], V_$0068AE60[1], V_$0068AE60[2];
+E_003DDC30 .event/or E_003DDC30/0, E_003DDC30/1, E_003DDC30/2, E_003DDC30/3, E_003DDC30/4, E_003DDC30/5, E_003DDC30/6;
+E_003DE478 .event posedge, V_$006E3508[0];
+S_00624D88 .scope module, "u_wb_master_model" "wb_master_model", S_006338F0;
+ .timescale -9;
+V_$00689E98 .net "ack", 0, 0, V_$006DE038[0];
+V_$0068AE60 .var "adr", 7, 0;
+V_$00698EA8 .net "clk", 0, 0, V_$006DF4B8[0];
+V_$0069A858 .var "cyc", 0, 0;
+V_$00657510 .net "din", 7, 0, V_$006DE8E8[0], V_$006DE8E8[1], V_$006DE8E8[2], V_$006DE8E8[3], V_$006DE8E8[4], V_$006DE8E8[5], V_$006DE8E8[6], V_$006DE8E8[7];
+V_$0069AA18 .var "dout", 7, 0;
+V_$0069FC50 .net "err", 0, 0, C<0>;
+V_$006A05F8 .var "q", 7, 0;
+V_$006A0F58 .net "rst", 0, 0, V_$006E0BD0[0];
+V_$006A10B0 .net "rty", 0, 0, C<0>;
+V_$006A4238 .var "sel", 0, 0;
+V_$006607B0 .var "stb", 0, 0;
+V_$006A5C98 .var "we", 0, 0;
+S_005FFF10 .scope task, "wb_cmp" "testHarness.u_wb_master_model.wb_cmp", S_00624D88;
+ .timescale -9;
+V_$0067FD60 .var "a", 7, 0;
+V_$00682498 .var "d_exp", 7, 0;
+V_$00682CF8 .var/i "delay", 31, 0;
+TD_testHarness.u_wb_master_model.wb_cmp ;
+ %load/v 32, V_$00682CF8[0], 32;
+ %set/v V_$0067ECA0[0], 32, 32;
+ %load/v 32, V_$0067FD60[0], 8;
+ %set/v V_$0067E7C8[0], 32, 8;
+ %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0;
+ %join;
+ %load/v 32, V_$0067EE18[0], 8;
+ %set/v V_$006A05F8[0], 32, 8;
+ %load/v 32, V_$00682498[0], 8;
+ %load/v 40, V_$006A05F8[0], 8;
+ %cmp/u 32, 40, 8;
+ %inv 6, 1;
+ %mov 32, 6, 1;
+ %jmp/0xz T_0.0, 32;
+ %vpi_call "$display", "Data compare error. Received %h, expected %h at time %t", V_$006A05F8, V_$00682498, $time;
+T_0.0 ;
+ %end;
+S_003D31C0 .scope task, "wb_read" "testHarness.u_wb_master_model.wb_read", S_00624D88;
+ .timescale -9;
+V_$0067E7C8 .var "a", 7, 0;
+V_$0067EE18 .var "d", 7, 0;
+V_$0067ECA0 .var/i "delay", 31, 0;
+TD_testHarness.u_wb_master_model.wb_read ;
+ %load/v 32, V_$0067ECA0[0], 32;
+T_1.2 %cmp/u 0, 32, 32;
+ %jmp/0xz T_1.3, 5;
+ %add 32, 1, 32;
+ %wait E_003DE0F8;
+ %jmp T_1.2;
+T_1.3 ;
+ %delay 1000;
+ %load/v 32, V_$0067E7C8[0], 8;
+ %set/v V_$0068AE60[0], 32, 8;
+ %set/v V_$0069AA18[0], 2, 8;
+ %set V_$0069A858[0], 1;
+ %set V_$006607B0[0], 1;
+ %set V_$006A5C98[0], 0;
+ %set V_$006A4238[0], 1;
+ %wait E_003DE0F8;
+T_1.4 ;
+ %load 32, V_$00689E98[0];
+ %inv 32, 1;
+ %jmp/0xz T_1.5, 32;
+ %wait E_003DE0F8;
+ %jmp T_1.4;
+T_1.5 ;
+ %delay 1000;
+ %set V_$0069A858[0], 0;
+ %set V_$006607B0[0], 2;
+ %set/v V_$0068AE60[0], 2, 8;
+ %set/v V_$0069AA18[0], 2, 8;
+ %set V_$006A5C98[0], 2;
+ %set V_$006A4238[0], 2;
+ %load 32, V_$00657510[0];
+ %load 33, V_$00657510[1];
+ %load 34, V_$00657510[2];
+ %load 35, V_$00657510[3];
+ %load 36, V_$00657510[4];
+ %load 37, V_$00657510[5];
+ %load 38, V_$00657510[6];
+ %load 39, V_$00657510[7];
+ %set/v V_$0067EE18[0], 32, 8;
+ %end;
+S_0062CD78 .scope task, "wb_write" "testHarness.u_wb_master_model.wb_write", S_00624D88;
+ .timescale -9;
+V_$0067C5F0 .var "a", 7, 0;
+V_$0067D930 .var "d", 7, 0;
+V_$0067E2E8 .var/i "delay", 31, 0;
+E_003DE0F8 .event posedge, V_$006DF4B8[0];
+TD_testHarness.u_wb_master_model.wb_write ;
+ %load/v 32, V_$0067E2E8[0], 32;
+T_2.6 %cmp/u 0, 32, 32;
+ %jmp/0xz T_2.7, 5;
+ %add 32, 1, 32;
+ %wait E_003DE0F8;
+ %jmp T_2.6;
+T_2.7 ;
+ %delay 1000;
+ %load/v 32, V_$0067C5F0[0], 8;
+ %set/v V_$0068AE60[0], 32, 8;
+ %load/v 32, V_$0067D930[0], 8;
+ %set/v V_$0069AA18[0], 32, 8;
+ %set V_$0069A858[0], 1;
+ %set V_$006607B0[0], 1;
+ %set V_$006A5C98[0], 1;
+ %set V_$006A4238[0], 1;
+ %wait E_003DE0F8;
+T_2.8 ;
+ %load 32, V_$00689E98[0];
+ %inv 32, 1;
+ %jmp/0xz T_2.9, 32;
+ %wait E_003DE0F8;
+ %jmp T_2.8;
+T_2.9 ;
+ %delay 1000;
+ %set V_$0069A858[0], 0;
+ %set V_$006607B0[0], 2;
+ %set/v V_$0068AE60[0], 2, 8;
+ %set/v V_$0069AA18[0], 2, 8;
+ %set V_$006A5C98[0], 2;
+ %set V_$006A4238[0], 2;
+ %end;
+S_00633880 .scope module, "u_sdModel" "sdModel", S_006338F0;
+ .timescale -9;
+V_$006B0438 .var "cnt", 7, 0;
+V_$006B0578 .var "respByte", 7, 0;
+V_$006B0718 .var "rxByte", 7, 0;
+V_$006B08C8 .var "smSt", 1, 0;
+V_$0066EE28 .net "spiCS_n", 0, 0, L_006E3660;
+V_$0063FB48 .net "spiClk", 0, 0, V_$006CAA28[0];
+V_$0067A3E8 .net "spiDataIn", 0, 0, V_$006CAAD8[0];
+V_$00644A08 .var "spiDataOut", 0, 0;
+S_005C89B8 .scope task, "setRespByte" "testHarness.u_sdModel.setRespByte", S_00633880;
+ .timescale -9;
+V_$006B0310 .var "dataByte", 7, 0;
+TD_testHarness.u_sdModel.setRespByte ;
+ %load/v 32, V_$006B0310[0], 8;
+ %set/v V_$006B0578[0], 32, 8;
+ %end;
+S_006399E8 .scope task, "txRxByte" "testHarness.u_sdModel.txRxByte", S_00633880;
+ .timescale -9;
+V_$006AFF68 .var/i "i", 31, 0;
+V_$006B00C0 .var "rxData", 7, 0;
+V_$006B01E8 .var "txData", 7, 0;
+E_00639FE8 .event negedge, V_$006CAA28[0];
+E_00619EB0 .event posedge, V_$006CAA28[0];
+TD_testHarness.u_sdModel.txRxByte ;
+ %load/v 32, V_$006B01E8[7], 1;
+ %assign V_$00644A08[0], 0, 32;
+ %set/v V_$006AFF68[0], 0, 32;
+T_4.10 ;
+ %load/v 32, V_$006AFF68[0], 32;
+ %mov 64, 1, 3;
+ %mov 67, 0, 29;
+ %cmp/s 32, 64, 32;
+ %or 5, 4, 1;
+ %jmp/0xz T_4.11, 5;
+ %wait E_00619EB0;
+ %load 32, V_$0067A3E8[0];
+ %assign V_$006B00C0[0], 0, 32;
+ %load/v 32, V_$006B00C0[0], 8;
+ %ix/load 0, 1;
+ %shiftl/i0 32, 8;
+ %set/v V_$006B00C0[0], 32, 8;
+ %wait E_00639FE8;
+ %load/v 32, V_$006B01E8[6], 1;
+ %assign V_$00644A08[0], 0, 32;
+ %load/v 32, V_$006B01E8[0], 8;
+ %ix/load 0, 1;
+ %shiftl/i0 32, 8;
+ %set/v V_$006B01E8[0], 32, 8;
+ %load/v 32, V_$006AFF68[0], 32;
+ %addi 32, 1, 32;
+ %set/v V_$006AFF68[0], 32, 32;
+ %jmp T_4.10;
+T_4.11 ;
+ %end;
+ .scope S_005FC090;
+T_5 ;
+ %vpi_call "$write", "\n\n";
+ %delay 1000000;
+ %vpi_call "$write", "Testing register read/write\n";
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set/v V_$0067C5F0[0], 1, 3;
+ %set/v V_$0067C5F0[3], 0, 5;
+ %set/v V_$0067D930[0], 0, 3;
+ %set/v V_$0067D930[3], 1, 4;
+ %set V_$0067D930[7], 0;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set/v V_$0067C5F0[0], 0, 3;
+ %set V_$0067C5F0[3], 1;
+ %set/v V_$0067C5F0[4], 0, 4;
+ %set V_$0067D930[0], 0;
+ %set/v V_$0067D930[1], 1, 2;
+ %set V_$0067D930[3], 0;
+ %set V_$0067D930[4], 1;
+ %set V_$0067D930[5], 0;
+ %set V_$0067D930[6], 1;
+ %set V_$0067D930[7], 0;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set V_$0067C5F0[0], 1;
+ %set/v V_$0067C5F0[1], 0, 2;
+ %set V_$0067C5F0[3], 1;
+ %set/v V_$0067C5F0[4], 0, 4;
+ %set/v V_$0067D930[0], 0, 2;
+ %set V_$0067D930[2], 1;
+ %set V_$0067D930[3], 0;
+ %set/v V_$0067D930[4], 1, 2;
+ %set/v V_$0067D930[6], 0, 2;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set V_$0067C5F0[0], 0;
+ %set V_$0067C5F0[1], 1;
+ %set V_$0067C5F0[2], 0;
+ %set V_$0067C5F0[3], 1;
+ %set/v V_$0067C5F0[4], 0, 4;
+ %set V_$0067D930[0], 0;
+ %set V_$0067D930[1], 1;
+ %set/v V_$0067D930[2], 0, 2;
+ %set V_$0067D930[4], 1;
+ %set/v V_$0067D930[5], 0, 3;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$00682CF8[0], 1;
+ %set V_$00682CF8[1], 0;
+ %set/v V_$00682CF8[2], 0, 30;
+ %set/v V_$0067FD60[0], 1, 3;
+ %set/v V_$0067FD60[3], 0, 5;
+ %set/v V_$00682498[0], 0, 3;
+ %set/v V_$00682498[3], 1, 4;
+ %set V_$00682498[7], 0;
+ %fork TD_testHarness.u_wb_master_model.wb_cmp, S_005FFF10;
+ %join;
+ %set V_$00682CF8[0], 1;
+ %set V_$00682CF8[1], 0;
+ %set/v V_$00682CF8[2], 0, 30;
+ %set/v V_$0067FD60[0], 0, 3;
+ %set V_$0067FD60[3], 1;
+ %set/v V_$0067FD60[4], 0, 4;
+ %set V_$00682498[0], 0;
+ %set/v V_$00682498[1], 1, 2;
+ %set V_$00682498[3], 0;
+ %set V_$00682498[4], 1;
+ %set V_$00682498[5], 0;
+ %set V_$00682498[6], 1;
+ %set V_$00682498[7], 0;
+ %fork TD_testHarness.u_wb_master_model.wb_cmp, S_005FFF10;
+ %join;
+ %set V_$00682CF8[0], 1;
+ %set V_$00682CF8[1], 0;
+ %set/v V_$00682CF8[2], 0, 30;
+ %set V_$0067FD60[0], 1;
+ %set/v V_$0067FD60[1], 0, 2;
+ %set V_$0067FD60[3], 1;
+ %set/v V_$0067FD60[4], 0, 4;
+ %set/v V_$00682498[0], 0, 2;
+ %set V_$00682498[2], 1;
+ %set V_$00682498[3], 0;
+ %set/v V_$00682498[4], 1, 2;
+ %set/v V_$00682498[6], 0, 2;
+ %fork TD_testHarness.u_wb_master_model.wb_cmp, S_005FFF10;
+ %join;
+ %set V_$00682CF8[0], 1;
+ %set V_$00682CF8[1], 0;
+ %set/v V_$00682CF8[2], 0, 30;
+ %set V_$0067FD60[0], 0;
+ %set V_$0067FD60[1], 1;
+ %set V_$0067FD60[2], 0;
+ %set V_$0067FD60[3], 1;
+ %set/v V_$0067FD60[4], 0, 4;
+ %set V_$00682498[0], 0;
+ %set V_$00682498[1], 1;
+ %set/v V_$00682498[2], 0, 2;
+ %set V_$00682498[4], 1;
+ %set/v V_$00682498[5], 0, 3;
+ %fork TD_testHarness.u_wb_master_model.wb_cmp, S_005FFF10;
+ %join;
+ %vpi_call "$write", "Testing SPI bus direct access\n";
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set V_$0067C5F0[0], 0;
+ %set V_$0067C5F0[1], 1;
+ %set/v V_$0067C5F0[2], 0, 6;
+ %set/v V_$0067D930[0], 0, 8;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set V_$0067C5F0[0], 0;
+ %set/v V_$0067C5F0[1], 1, 2;
+ %set/v V_$0067C5F0[3], 0, 5;
+ %set/v V_$0067D930[0], 1, 5;
+ %set V_$0067D930[5], 0;
+ %set V_$0067D930[6], 1;
+ %set V_$0067D930[7], 0;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set/v V_$0067C5F0[0], 1, 2;
+ %set/v V_$0067C5F0[2], 0, 6;
+ %set V_$0067D930[0], 1;
+ %set/v V_$0067D930[1], 0, 7;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067ECA0[0], 1;
+ %set V_$0067ECA0[1], 0;
+ %set/v V_$0067ECA0[2], 0, 30;
+ %set/v V_$0067E7C8[0], 0, 2;
+ %set V_$0067E7C8[2], 1;
+ %set/v V_$0067E7C8[3], 0, 5;
+ %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0;
+ %join;
+ %load/v 32, V_$0067EE18[0], 8;
+ %set/v V_$006AD848[0], 32, 8;
+T_5.0 ;
+ %load/v 32, V_$006AD848[0], 1;
+ %jmp/0xz T_5.1, 32;
+ %set V_$0067ECA0[0], 1;
+ %set V_$0067ECA0[1], 0;
+ %set/v V_$0067ECA0[2], 0, 30;
+ %set/v V_$0067E7C8[0], 0, 2;
+ %set V_$0067E7C8[2], 1;
+ %set/v V_$0067E7C8[3], 0, 5;
+ %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0;
+ %join;
+ %load/v 32, V_$0067EE18[0], 8;
+ %set/v V_$006AD848[0], 32, 8;
+ %jmp T_5.0;
+T_5.1 ;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set V_$0067C5F0[0], 0;
+ %set/v V_$0067C5F0[1], 1, 2;
+ %set/v V_$0067C5F0[3], 0, 5;
+ %set V_$0067D930[0], 0;
+ %set V_$0067D930[1], 1;
+ %set V_$0067D930[2], 0;
+ %set V_$0067D930[3], 1;
+ %set V_$0067D930[4], 0;
+ %set V_$0067D930[5], 1;
+ %set V_$0067D930[6], 0;
+ %set V_$0067D930[7], 1;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set/v V_$0067C5F0[0], 1, 2;
+ %set/v V_$0067C5F0[2], 0, 6;
+ %set V_$0067D930[0], 1;
+ %set/v V_$0067D930[1], 0, 7;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067ECA0[0], 1;
+ %set V_$0067ECA0[1], 0;
+ %set/v V_$0067ECA0[2], 0, 30;
+ %set/v V_$0067E7C8[0], 0, 2;
+ %set V_$0067E7C8[2], 1;
+ %set/v V_$0067E7C8[3], 0, 5;
+ %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0;
+ %join;
+ %load/v 32, V_$0067EE18[0], 8;
+ %set/v V_$006AD848[0], 32, 8;
+T_5.2 ;
+ %load/v 32, V_$006AD848[0], 1;
+ %jmp/0xz T_5.3, 32;
+ %set V_$0067ECA0[0], 1;
+ %set V_$0067ECA0[1], 0;
+ %set/v V_$0067ECA0[2], 0, 30;
+ %set/v V_$0067E7C8[0], 0, 2;
+ %set V_$0067E7C8[2], 1;
+ %set/v V_$0067E7C8[3], 0, 5;
+ %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0;
+ %join;
+ %load/v 32, V_$0067EE18[0], 8;
+ %set/v V_$006AD848[0], 32, 8;
+ %jmp T_5.2;
+T_5.3 ;
+ %vpi_call "$write", "Testing SD init\n";
+ %set V_$006B0310[0], 1;
+ %set/v V_$006B0310[1], 0, 7;
+ %fork TD_testHarness.u_sdModel.setRespByte, S_005C89B8;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set V_$0067C5F0[0], 0;
+ %set V_$0067C5F0[1], 1;
+ %set/v V_$0067C5F0[2], 0, 6;
+ %set V_$0067D930[0], 1;
+ %set/v V_$0067D930[1], 0, 7;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set/v V_$0067C5F0[0], 1, 2;
+ %set/v V_$0067C5F0[2], 0, 6;
+ %set V_$0067D930[0], 1;
+ %set/v V_$0067D930[1], 0, 7;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %delay 60000000;
+ %set/v V_$006B0310[0], 0, 8;
+ %fork TD_testHarness.u_sdModel.setRespByte, S_005C89B8;
+ %join;
+ %set V_$0067ECA0[0], 1;
+ %set V_$0067ECA0[1], 0;
+ %set/v V_$0067ECA0[2], 0, 30;
+ %set/v V_$0067E7C8[0], 0, 2;
+ %set V_$0067E7C8[2], 1;
+ %set/v V_$0067E7C8[3], 0, 5;
+ %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0;
+ %join;
+ %load/v 32, V_$0067EE18[0], 8;
+ %set/v V_$006AD848[0], 32, 8;
+T_5.4 ;
+ %load/v 32, V_$006AD848[0], 1;
+ %jmp/0xz T_5.5, 32;
+ %set V_$0067ECA0[0], 1;
+ %set V_$0067ECA0[1], 0;
+ %set/v V_$0067ECA0[2], 0, 30;
+ %set/v V_$0067E7C8[0], 0, 2;
+ %set V_$0067E7C8[2], 1;
+ %set/v V_$0067E7C8[3], 0, 5;
+ %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0;
+ %join;
+ %load/v 32, V_$0067EE18[0], 8;
+ %set/v V_$006AD848[0], 32, 8;
+ %jmp T_5.4;
+T_5.5 ;
+ %set V_$0067ECA0[0], 1;
+ %set V_$0067ECA0[1], 0;
+ %set/v V_$0067ECA0[2], 0, 30;
+ %set V_$0067E7C8[0], 1;
+ %set V_$0067E7C8[1], 0;
+ %set V_$0067E7C8[2], 1;
+ %set/v V_$0067E7C8[3], 0, 5;
+ %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0;
+ %join;
+ %load/v 32, V_$0067EE18[0], 8;
+ %set/v V_$006AD848[0], 32, 8;
+ %load/v 32, V_$006AD848[0], 2;
+ %cmpi/u 32, 0, 2;
+ %jmp/0xz T_5.6, 4;
+ %vpi_call "$write", "SD init test passed\n";
+ %jmp T_5.7;
+T_5.6 ;
+ %load/v 32, V_$006AD848[0], 2;
+ %vpi_call "$write", "---- ERROR: SD init test failed. Error code = 0x%01x\n", T<32,2,u>;
+T_5.7 ;
+ %vpi_call "$write", "Testing block write\n";
+ %set/v V_$006AFD38[0], 0, 8;
+ %set/v V_$006AFE40[0], 0, 32;
+T_5.8 ;
+ %load/v 32, V_$006AFE40[0], 32;
+ %mov 64, 1, 9;
+ %mov 73, 0, 23;
+ %cmp/s 32, 64, 32;
+ %or 5, 4, 1;
+ %jmp/0xz T_5.9, 5;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set/v V_$0067C5F0[0], 0, 5;
+ %set V_$0067C5F0[5], 1;
+ %set/v V_$0067C5F0[6], 0, 2;
+ %load/v 32, V_$006AFD38[0], 8;
+ %set/v V_$0067D930[0], 32, 8;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %load/v 32, V_$006AFD38[0], 8;
+ %addi 32, 1, 8;
+ %set/v V_$006AFD38[0], 32, 8;
+ %load/v 32, V_$006AFE40[0], 32;
+ %addi 32, 1, 32;
+ %set/v V_$006AFE40[0], 32, 32;
+ %jmp T_5.8;
+T_5.9 ;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set V_$0067C5F0[0], 0;
+ %set V_$0067C5F0[1], 1;
+ %set/v V_$0067C5F0[2], 0, 6;
+ %set/v V_$0067D930[0], 1, 2;
+ %set/v V_$0067D930[2], 0, 6;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set/v V_$0067C5F0[0], 1, 2;
+ %set/v V_$0067C5F0[2], 0, 6;
+ %set V_$0067D930[0], 1;
+ %set/v V_$0067D930[1], 0, 7;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %delay 100000000;
+ %set V_$006B0310[0], 1;
+ %set V_$006B0310[1], 0;
+ %set V_$006B0310[2], 1;
+ %set/v V_$006B0310[3], 0, 5;
+ %fork TD_testHarness.u_sdModel.setRespByte, S_005C89B8;
+ %join;
+ %delay 400000000;
+ %set V_$0067ECA0[0], 1;
+ %set V_$0067ECA0[1], 0;
+ %set/v V_$0067ECA0[2], 0, 30;
+ %set V_$0067E7C8[0], 1;
+ %set V_$0067E7C8[1], 0;
+ %set V_$0067E7C8[2], 1;
+ %set/v V_$0067E7C8[3], 0, 5;
+ %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0;
+ %join;
+ %load/v 32, V_$0067EE18[0], 8;
+ %set/v V_$006AD848[0], 32, 8;
+ %load/v 32, V_$006AD848[4], 2;
+ %cmpi/u 32, 0, 2;
+ %jmp/0xz T_5.10, 4;
+ %vpi_call "$write", "SD block write passed\n";
+ %jmp T_5.11;
+T_5.10 ;
+ %load/v 32, V_$006AD848[4], 2;
+ %vpi_call "$write", "---- ERROR: SD block write failed. Error code = 0x%01x\n", T<32,2,u>;
+T_5.11 ;
+ %vpi_call "$write", "Testing block read\n";
+ %set/v V_$006B0310[0], 0, 8;
+ %fork TD_testHarness.u_sdModel.setRespByte, S_005C89B8;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set V_$0067C5F0[0], 0;
+ %set V_$0067C5F0[1], 1;
+ %set/v V_$0067C5F0[2], 0, 6;
+ %set V_$0067D930[0], 0;
+ %set V_$0067D930[1], 1;
+ %set/v V_$0067D930[2], 0, 6;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set/v V_$0067C5F0[0], 1, 2;
+ %set/v V_$0067C5F0[2], 0, 6;
+ %set V_$0067D930[0], 1;
+ %set/v V_$0067D930[1], 0, 7;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %delay 100000000;
+ %set V_$006B0310[0], 1;
+ %set V_$006B0310[1], 0;
+ %set V_$006B0310[2], 1;
+ %set/v V_$006B0310[3], 0, 5;
+ %fork TD_testHarness.u_sdModel.setRespByte, S_005C89B8;
+ %join;
+ %delay 400000000;
+ %set V_$0067ECA0[0], 1;
+ %set V_$0067ECA0[1], 0;
+ %set/v V_$0067ECA0[2], 0, 30;
+ %set V_$0067E7C8[0], 1;
+ %set V_$0067E7C8[1], 0;
+ %set V_$0067E7C8[2], 1;
+ %set/v V_$0067E7C8[3], 0, 5;
+ %fork TD_testHarness.u_wb_master_model.wb_read, S_003D31C0;
+ %join;
+ %load/v 32, V_$0067EE18[0], 8;
+ %set/v V_$006AD848[0], 32, 8;
+ %load/v 32, V_$006AD848[2], 2;
+ %cmpi/u 32, 0, 2;
+ %jmp/0xz T_5.12, 4;
+ %vpi_call "$write", "SD block read passed\n";
+ %jmp T_5.13;
+T_5.12 ;
+ %load/v 32, V_$006AD848[2], 2;
+ %vpi_call "$write", "---- ERROR: SD block read failed. Error code = 0x%01x\n", T<32,2,u>;
+T_5.13 ;
+ %set/v V_$006AFE40[0], 0, 32;
+T_5.14 ;
+ %load/v 32, V_$006AFE40[0], 32;
+ %mov 64, 1, 9;
+ %mov 73, 0, 23;
+ %cmp/s 32, 64, 32;
+ %or 5, 4, 1;
+ %jmp/0xz T_5.15, 5;
+ %set V_$0067E2E8[0], 1;
+ %set V_$0067E2E8[1], 0;
+ %set/v V_$0067E2E8[2], 0, 30;
+ %set/v V_$0067C5F0[0], 0, 5;
+ %set V_$0067C5F0[5], 1;
+ %set/v V_$0067C5F0[6], 0, 2;
+ %load/v 32, V_$006AFD38[0], 8;
+ %set/v V_$0067D930[0], 32, 8;
+ %fork TD_testHarness.u_wb_master_model.wb_write, S_0062CD78;
+ %join;
+ %load/v 32, V_$006AFD38[0], 8;
+ %addi 32, 1, 8;
+ %set/v V_$006AFD38[0], 32, 8;
+ %load/v 32, V_$006AFE40[0], 32;
+ %addi 32, 1, 32;
+ %set/v V_$006AFE40[0], 32, 32;
+ %jmp T_5.14;
+T_5.15 ;
+ %vpi_call "$write", "Finished all tests\n";
+ %vpi_call "$stop";
+ %end;
+ .thread T_5;
+ .scope S_006DD638;
+T_6 ;
+ %wait E_006DDE28;
+ %assign V_$006DE140[0], 0, 0;
+ %assign V_$006DE9B8[0], 0, 0;
+ %assign V_$006DEA20[0], 0, 0;
+ %load 32, V_$006DE0A8[0];
+ %load 33, V_$006DE0A8[1];
+ %load 34, V_$006DE0A8[2];
+ %load 35, V_$006DE0A8[3];
+ %load 36, V_$006DE0A8[4];
+ %load 37, V_$006DE0A8[5];
+ %load 38, V_$006DE0A8[6];
+ %load 39, V_$006DE0A8[7];
+ %mov 40, 0, 4;
+ %mov 44, 1, 4;
+ %and 32, 40, 8;
+ %cmpi/u 32, 0, 8;
+ %jmp/1 T_6.0, 6;
+ %cmpi/u 32, 16, 8;
+ %jmp/1 T_6.1, 6;
+ %cmpi/u 32, 32, 8;
+ %jmp/1 T_6.2, 6;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DE8E8[0], 0, 0;
+ %jmp T_6.4;
+T_6.0 ;
+ %assign V_$006DE140[0], 0, 1;
+ %load 32, V_$006DE178[0];
+ %load 33, V_$006DE178[1];
+ %load 34, V_$006DE178[2];
+ %load 35, V_$006DE178[3];
+ %load 36, V_$006DE178[4];
+ %load 37, V_$006DE178[5];
+ %load 38, V_$006DE178[6];
+ %load 39, V_$006DE178[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DE8E8[0], 0, 32;
+ %jmp T_6.4;
+T_6.1 ;
+ %assign V_$006DE9B8[0], 0, 1;
+ %load 32, V_$006DE280[0];
+ %load 33, V_$006DE280[1];
+ %load 34, V_$006DE280[2];
+ %load 35, V_$006DE280[3];
+ %load 36, V_$006DE280[4];
+ %load 37, V_$006DE280[5];
+ %load 38, V_$006DE280[6];
+ %load 39, V_$006DE280[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DE8E8[0], 0, 32;
+ %jmp T_6.4;
+T_6.2 ;
+ %assign V_$006DEA20[0], 0, 1;
+ %load 32, V_$006DE3A0[0];
+ %load 33, V_$006DE3A0[1];
+ %load 34, V_$006DE3A0[2];
+ %load 35, V_$006DE3A0[3];
+ %load 36, V_$006DE3A0[4];
+ %load 37, V_$006DE3A0[5];
+ %load 38, V_$006DE3A0[6];
+ %load 39, V_$006DE3A0[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DE8E8[0], 0, 32;
+ %jmp T_6.4;
+T_6.4 ;
+ %jmp T_6;
+ .thread T_6, $push;
+ .scope S_006DD638;
+T_7 ;
+ %wait E_003DE0F8;
+ %load 32, V_$006DE920[0];
+ %assign V_$006DDE60[0], 0, 32;
+ %jmp T_7;
+ .thread T_7;
+ .scope S_006DD638;
+T_8 ;
+ %wait E_006DDDF8;
+ %load 32, V_$006DE920[0];
+ %assign V_$006DDEE0[0], 0, 32;
+ %jmp T_8;
+ .thread T_8, $push;
+ .scope S_006DD638;
+T_9 ;
+ %wait E_006DDDA0;
+ %load 32, V_$006DEA88[0];
+ %cmpi/u 32, 0, 1;
+ %mov 32, 4, 1;
+ %load 33, V_$006DE0A8[0];
+ %load 34, V_$006DE0A8[1];
+ %load 35, V_$006DE0A8[2];
+ %load 36, V_$006DE0A8[3];
+ %load 37, V_$006DE0A8[4];
+ %load 38, V_$006DE0A8[5];
+ %load 39, V_$006DE0A8[6];
+ %load 40, V_$006DE0A8[7];
+ %cmpi/u 33, 16, 8;
+ %mov 33, 4, 1;
+ %load 34, V_$006DE0A8[0];
+ %load 35, V_$006DE0A8[1];
+ %load 36, V_$006DE0A8[2];
+ %load 37, V_$006DE0A8[3];
+ %load 38, V_$006DE0A8[4];
+ %load 39, V_$006DE0A8[5];
+ %load 40, V_$006DE0A8[6];
+ %load 41, V_$006DE0A8[7];
+ %cmpi/u 34, 32, 8;
+ %mov 34, 4, 1;
+ %or 33, 34, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_9.0, 32;
+ %load/v 32, V_$006DDE60[0], 1;
+ %assign V_$006DE038[0], 0, 32;
+ %jmp T_9.1;
+T_9.0 ;
+ %load/v 32, V_$006DDEE0[0], 1;
+ %assign V_$006DE038[0], 0, 32;
+T_9.1 ;
+ %jmp T_9;
+ .thread T_9, $push;
+ .scope S_006DBE08;
+T_10 ;
+ %wait E_003DE0F8;
+ %load/v 32, V_$006DC940[0], 1;
+ %jmp/0xz T_10.0, 32;
+ %assign V_$006DDC18[0], 0, 0;
+ %assign V_$006DDC18[1], 0, 0;
+ %assign V_$006DD6A8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DD380[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DCA38[0], 0, 0;
+ %jmp T_10.1;
+T_10.0 ;
+ %load 32, V_$006DDD18[0];
+ %load 33, V_$006DC5B0[0];
+ %and 32, 33, 1;
+ %load 33, V_$006DDCE0[0];
+ %and 32, 33, 1;
+ %load 33, V_$006DCEF8[0];
+ %load 34, V_$006DCEF8[1];
+ %load 35, V_$006DCEF8[2];
+ %load 36, V_$006DCEF8[3];
+ %load 37, V_$006DCEF8[4];
+ %load 38, V_$006DCEF8[5];
+ %load 39, V_$006DCEF8[6];
+ %load 40, V_$006DCEF8[7];
+ %cmpi/u 33, 1, 8;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %load 33, V_$006DC5E8[1];
+ %and 32, 33, 1;
+ %jmp/0xz T_10.2, 32;
+ %assign V_$006DC6B8[0], 0, 1;
+ %jmp T_10.3;
+T_10.2 ;
+ %assign V_$006DC6B8[0], 0, 0;
+T_10.3 ;
+ %load 32, V_$006DDD18[0];
+ %load 33, V_$006DC5B0[0];
+ %and 32, 33, 1;
+ %load 33, V_$006DDCE0[0];
+ %and 32, 33, 1;
+ %load 33, V_$006DCEF8[0];
+ %load 34, V_$006DCEF8[1];
+ %load 35, V_$006DCEF8[2];
+ %load 36, V_$006DCEF8[3];
+ %load 37, V_$006DCEF8[4];
+ %load 38, V_$006DCEF8[5];
+ %load 39, V_$006DCEF8[6];
+ %load 40, V_$006DCEF8[7];
+ %cmpi/u 33, 3, 8;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %load 33, V_$006DC5E8[0];
+ %and 32, 33, 1;
+ %jmp/0xz T_10.4, 32;
+ %assign V_$006DD6A8[0], 0, 1;
+ %jmp T_10.5;
+T_10.4 ;
+ %assign V_$006DD6A8[0], 0, 0;
+T_10.5 ;
+ %load 32, V_$006DDD18[0];
+ %load 33, V_$006DC5B0[0];
+ %and 32, 33, 1;
+ %load 33, V_$006DDCE0[0];
+ %and 32, 33, 1;
+ %jmp/0xz T_10.6, 32;
+ %load 32, V_$006DCEF8[0];
+ %load 33, V_$006DCEF8[1];
+ %load 34, V_$006DCEF8[2];
+ %load 35, V_$006DCEF8[3];
+ %load 36, V_$006DCEF8[4];
+ %load 37, V_$006DCEF8[5];
+ %load 38, V_$006DCEF8[6];
+ %load 39, V_$006DCEF8[7];
+ %cmpi/u 32, 2, 8;
+ %jmp/1 T_10.8, 6;
+ %cmpi/u 32, 7, 8;
+ %jmp/1 T_10.9, 6;
+ %cmpi/u 32, 8, 8;
+ %jmp/1 T_10.10, 6;
+ %cmpi/u 32, 9, 8;
+ %jmp/1 T_10.11, 6;
+ %cmpi/u 32, 10, 8;
+ %jmp/1 T_10.12, 6;
+ %cmpi/u 32, 11, 8;
+ %jmp/1 T_10.13, 6;
+ %cmpi/u 32, 6, 8;
+ %jmp/1 T_10.14, 6;
+ %jmp T_10.15;
+T_10.8 ;
+ %load 32, V_$006DC5E8[0];
+ %load 33, V_$006DC5E8[1];
+ %assign V_$006DDC18[0], 0, 32;
+ %assign V_$006DDC18[1], 0, 33;
+ %jmp T_10.15;
+T_10.9 ;
+ %load 32, V_$006DC5E8[0];
+ %load 33, V_$006DC5E8[1];
+ %load 34, V_$006DC5E8[2];
+ %load 35, V_$006DC5E8[3];
+ %load 36, V_$006DC5E8[4];
+ %load 37, V_$006DC5E8[5];
+ %load 38, V_$006DC5E8[6];
+ %load 39, V_$006DC5E8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DBED8[0], 0, 32;
+ %jmp T_10.15;
+T_10.10 ;
+ %load 32, V_$006DC5E8[0];
+ %load 33, V_$006DC5E8[1];
+ %load 34, V_$006DC5E8[2];
+ %load 35, V_$006DC5E8[3];
+ %load 36, V_$006DC5E8[4];
+ %load 37, V_$006DC5E8[5];
+ %load 38, V_$006DC5E8[6];
+ %load 39, V_$006DC5E8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DBED8[8], 0, 32;
+ %jmp T_10.15;
+T_10.11 ;
+ %load 32, V_$006DC5E8[0];
+ %load 33, V_$006DC5E8[1];
+ %load 34, V_$006DC5E8[2];
+ %load 35, V_$006DC5E8[3];
+ %load 36, V_$006DC5E8[4];
+ %load 37, V_$006DC5E8[5];
+ %load 38, V_$006DC5E8[6];
+ %load 39, V_$006DC5E8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DBED8[16], 0, 32;
+ %jmp T_10.15;
+T_10.12 ;
+ %load 32, V_$006DC5E8[0];
+ %load 33, V_$006DC5E8[1];
+ %load 34, V_$006DC5E8[2];
+ %load 35, V_$006DC5E8[3];
+ %load 36, V_$006DC5E8[4];
+ %load 37, V_$006DC5E8[5];
+ %load 38, V_$006DC5E8[6];
+ %load 39, V_$006DC5E8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DBED8[24], 0, 32;
+ %jmp T_10.15;
+T_10.13 ;
+ %load 32, V_$006DC5E8[0];
+ %load 33, V_$006DC5E8[1];
+ %load 34, V_$006DC5E8[2];
+ %load 35, V_$006DC5E8[3];
+ %load 36, V_$006DC5E8[4];
+ %load 37, V_$006DC5E8[5];
+ %load 38, V_$006DC5E8[6];
+ %load 39, V_$006DC5E8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DCA38[0], 0, 32;
+ %jmp T_10.15;
+T_10.14 ;
+ %load 32, V_$006DC5E8[0];
+ %load 33, V_$006DC5E8[1];
+ %load 34, V_$006DC5E8[2];
+ %load 35, V_$006DC5E8[3];
+ %load 36, V_$006DC5E8[4];
+ %load 37, V_$006DC5E8[5];
+ %load 38, V_$006DC5E8[6];
+ %load 39, V_$006DC5E8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DD380[0], 0, 32;
+ %jmp T_10.15;
+T_10.15 ;
+T_10.6 ;
+T_10.1 ;
+ %jmp T_10;
+ .thread T_10;
+ .scope S_006DBE08;
+T_11 ;
+ %wait E_006DBEB8;
+ %load 32, V_$006DCEF8[0];
+ %load 33, V_$006DCEF8[1];
+ %load 34, V_$006DCEF8[2];
+ %load 35, V_$006DCEF8[3];
+ %load 36, V_$006DCEF8[4];
+ %load 37, V_$006DCEF8[5];
+ %load 38, V_$006DCEF8[6];
+ %load 39, V_$006DCEF8[7];
+ %cmpi/u 32, 0, 8;
+ %jmp/1 T_11.0, 6;
+ %cmpi/u 32, 2, 8;
+ %jmp/1 T_11.1, 6;
+ %cmpi/u 32, 3, 8;
+ %jmp/1 T_11.2, 6;
+ %cmpi/u 32, 4, 8;
+ %jmp/1 T_11.3, 6;
+ %cmpi/u 32, 5, 8;
+ %jmp/1 T_11.4, 6;
+ %cmpi/u 32, 7, 8;
+ %jmp/1 T_11.5, 6;
+ %cmpi/u 32, 8, 8;
+ %jmp/1 T_11.6, 6;
+ %cmpi/u 32, 9, 8;
+ %jmp/1 T_11.7, 6;
+ %cmpi/u 32, 10, 8;
+ %jmp/1 T_11.8, 6;
+ %cmpi/u 32, 11, 8;
+ %jmp/1 T_11.9, 6;
+ %cmpi/u 32, 6, 8;
+ %jmp/1 T_11.10, 6;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 0;
+ %jmp T_11.12;
+T_11.0 ;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 0;
+ %jmp T_11.12;
+T_11.1 ;
+ %load/v 32, V_$006DDC18[0], 2;
+ %mov 34, 0, 6;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 32;
+ %jmp T_11.12;
+T_11.2 ;
+ %load/v 32, V_$006DD6A8[0], 1;
+ %mov 33, 0, 7;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 32;
+ %jmp T_11.12;
+T_11.3 ;
+ %load/v 32, V_$006DDAA8[0], 1;
+ %mov 33, 0, 7;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 32;
+ %jmp T_11.12;
+T_11.4 ;
+ %load/v 32, V_$006DC440[0], 2;
+ %load/v 34, V_$006DC4D0[0], 2;
+ %load/v 36, V_$006DCE80[0], 2;
+ %mov 38, 0, 2;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 32;
+ %jmp T_11.12;
+T_11.5 ;
+ %load/v 32, V_$006DBED8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 32;
+ %jmp T_11.12;
+T_11.6 ;
+ %load/v 32, V_$006DBED8[8], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 32;
+ %jmp T_11.12;
+T_11.7 ;
+ %load/v 32, V_$006DBED8[16], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 32;
+ %jmp T_11.12;
+T_11.8 ;
+ %load/v 32, V_$006DBED8[24], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 32;
+ %jmp T_11.12;
+T_11.9 ;
+ %load/v 32, V_$006DCA38[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 32;
+ %jmp T_11.12;
+T_11.10 ;
+ %load/v 32, V_$006DD078[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DC658[0], 0, 32;
+ %jmp T_11.12;
+T_11.12 ;
+ %jmp T_11;
+ .thread T_11, $push;
+ .scope S_006DBE08;
+T_12 ;
+ %wait E_003DE0F8;
+ %load 32, V_$006DC620[0];
+ %load/v 33, V_$006DC6B8[0], 1;
+ %or 32, 33, 1;
+ %jmp/0xz T_12.0, 32;
+ %ix/load 0, 6;
+ %assign/v0 V_$006DC710[0], 0, 1;
+ %jmp T_12.1;
+T_12.0 ;
+ %load/v 32, V_$006DC710[1], 5;
+ %mov 37, 0, 1;
+ %ix/load 0, 6;
+ %assign/v0 V_$006DC710[0], 0, 32;
+T_12.1 ;
+ %jmp T_12;
+ .thread T_12;
+ .scope S_006DBE08;
+T_13 ;
+ %wait E_006DBE78;
+ %load/v 32, V_$006DC710[0], 1;
+ %assign V_$006DC940[0], 0, 32;
+ %jmp T_13;
+ .thread T_13, $push;
+ .scope S_006DBE08;
+T_14 ;
+ %wait E_003DE478;
+ %load/v 32, V_$006DC940[0], 1;
+ %assign V_$006DC978[0], 0, 32;
+ %load/v 32, V_$006DC978[0], 1;
+ %assign V_$006DCA00[0], 0, 32;
+ %jmp T_14;
+ .thread T_14;
+ .scope S_006DBE08;
+T_15 ;
+ %wait E_003DE0F8;
+ %load/v 32, V_$006DC940[0], 1;
+ %jmp/0xz T_15.0, 32;
+ %ix/load 0, 6;
+ %assign/v0 V_$006DD6E0[0], 0, 0;
+ %jmp T_15.1;
+T_15.0 ;
+ %load/v 32, V_$006DD6A8[0], 1;
+ %jmp/0xz T_15.2, 32;
+ %ix/load 0, 6;
+ %assign/v0 V_$006DD6E0[0], 0, 1;
+ %jmp T_15.3;
+T_15.2 ;
+ %load/v 32, V_$006DD6E0[1], 5;
+ %mov 37, 0, 1;
+ %ix/load 0, 6;
+ %assign/v0 V_$006DD6E0[0], 0, 32;
+T_15.3 ;
+T_15.1 ;
+ %jmp T_15;
+ .thread T_15;
+ .scope S_006DBE08;
+T_16 ;
+ %wait E_003DE478;
+ %load/v 32, V_$006DD6E0[0], 1;
+ %assign V_$006DDF80[0], 0, 32;
+ %jmp T_16;
+ .thread T_16;
+ .scope S_006DBE08;
+T_17 ;
+ %wait E_003DE478;
+ %load/v 32, V_$006DCA00[0], 1;
+ %jmp/0xz T_17.0, 32;
+ %assign V_$006DDBA0[0], 0, 0;
+ %assign V_$006DDBA0[1], 0, 0;
+ %assign V_$006DDF80[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DD220[0], 0, 0;
+ %jmp T_17.1;
+T_17.0 ;
+ %load/v 32, V_$006DD380[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DD220[0], 0, 32;
+ %load/v 32, V_$006DDC18[0], 2;
+ %assign V_$006DDBA0[0], 0, 32;
+ %assign V_$006DDBA0[1], 0, 33;
+ %load/v 32, V_$006DD380[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DD220[0], 0, 32;
+T_17.1 ;
+ %jmp T_17;
+ .thread T_17;
+ .scope S_006DBE08;
+T_18 ;
+ %wait E_003DE0F8;
+ %load/v 32, V_$006DC940[0], 1;
+ %jmp/0xz T_18.0, 32;
+ %assign V_$006DDAA8[0], 0, 0;
+ %assign V_$006DD970[0], 0, 0;
+ %assign V_$006DD9E0[0], 0, 0;
+ %jmp T_18.1;
+T_18.0 ;
+ %load 32, V_$006DD918[0];
+ %assign V_$006DD970[0], 0, 32;
+ %load/v 32, V_$006DD970[0], 1;
+ %assign V_$006DD9E0[0], 0, 32;
+ %load/v 32, V_$006DD6A8[0], 1;
+ %jmp/0xz T_18.2, 32;
+ %assign V_$006DDAA8[0], 0, 1;
+ %jmp T_18.3;
+T_18.2 ;
+ %load/v 32, V_$006DD9E0[0], 1;
+ %load/v 33, V_$006DD970[0], 1;
+ %cmpi/u 33, 0, 1;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_18.4, 32;
+ %assign V_$006DDAA8[0], 0, 0;
+T_18.4 ;
+T_18.3 ;
+T_18.1 ;
+ %load 32, V_$006DCBF0[0];
+ %load 33, V_$006DCBF0[1];
+ %load 34, V_$006DCBF0[2];
+ %load 35, V_$006DCBF0[3];
+ %load 36, V_$006DCBF0[4];
+ %load 37, V_$006DCBF0[5];
+ %load 38, V_$006DCBF0[6];
+ %load 39, V_$006DCBF0[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DD078[0], 0, 32;
+ %load 32, V_$006DCE08[0];
+ %load 33, V_$006DCE08[1];
+ %assign V_$006DCE80[0], 0, 32;
+ %assign V_$006DCE80[1], 0, 33;
+ %load 32, V_$006DC478[0];
+ %load 33, V_$006DC478[1];
+ %assign V_$006DC4D0[0], 0, 32;
+ %assign V_$006DC4D0[1], 0, 33;
+ %load 32, V_$006DC3C8[0];
+ %load 33, V_$006DC3C8[1];
+ %assign V_$006DC440[0], 0, 32;
+ %assign V_$006DC440[1], 0, 33;
+ %jmp T_18;
+ .thread T_18;
+ .scope S_006DA008;
+T_19 ;
+ %wait E_006DB510;
+ %load/v 32, V_$006DB530[0], 3;
+ %ix/load 0, 3;
+ %assign/v0 V_$006DB5C8[0], 0, 32;
+ %load/v 32, V_$006DBA28[0], 2;
+ %assign V_$006DB760[0], 0, 32;
+ %assign V_$006DB760[1], 0, 33;
+ %load/v 32, V_$006DBDB0[0], 1;
+ %assign V_$006DB918[0], 0, 32;
+ %load/v 32, V_$006DB678[0], 1;
+ %assign V_$006DB728[0], 0, 32;
+ %load/v 32, V_$006DAC70[0], 1;
+ %assign V_$006DB7C0[0], 0, 32;
+ %load/v 32, V_$006DBC48[0], 1;
+ %assign V_$006DB890[0], 0, 32;
+ %load/v 32, V_$006DBBB8[0], 1;
+ %assign V_$006DB810[0], 0, 32;
+ %load/v 32, V_$006DB530[0], 3;
+ %cmpi/u 32, 0, 3;
+ %jmp/1 T_19.0, 6;
+ %cmpi/u 32, 1, 3;
+ %jmp/1 T_19.1, 6;
+ %cmpi/u 32, 2, 3;
+ %jmp/1 T_19.2, 6;
+ %cmpi/u 32, 3, 3;
+ %jmp/1 T_19.3, 6;
+ %cmpi/u 32, 4, 3;
+ %jmp/1 T_19.4, 6;
+ %cmpi/u 32, 5, 3;
+ %jmp/1 T_19.5, 6;
+ %cmpi/u 32, 6, 3;
+ %jmp/1 T_19.6, 6;
+ %cmpi/u 32, 7, 3;
+ %jmp/1 T_19.7, 6;
+ %jmp T_19.8;
+T_19.0 ;
+ %assign V_$006DB760[0], 0, 0;
+ %assign V_$006DB760[1], 0, 0;
+ %assign V_$006DB918[0], 0, 0;
+ %assign V_$006DB728[0], 0, 0;
+ %assign V_$006DB7C0[0], 0, 0;
+ %assign V_$006DB890[0], 0, 0;
+ %assign V_$006DB810[0], 0, 1;
+ %assign V_$006DB5C8[0], 0, 1;
+ %assign V_$006DB5C8[1], 0, 0;
+ %assign V_$006DB5C8[2], 0, 0;
+ %jmp T_19.8;
+T_19.1 ;
+ %assign V_$006DB7C0[0], 0, 0;
+ %assign V_$006DB890[0], 0, 0;
+ %load 32, V_$006DBC10[0];
+ %load 33, V_$006DBCC8[0];
+ %load 34, V_$006DBCC8[1];
+ %cmpi/u 33, 1, 2;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_19.9, 32;
+ %assign V_$006DB5C8[0], 0, 0;
+ %assign V_$006DB5C8[1], 0, 0;
+ %assign V_$006DB5C8[2], 0, 1;
+ %assign V_$006DB890[0], 0, 1;
+ %assign V_$006DB728[0], 0, 1;
+ %jmp T_19.10;
+T_19.9 ;
+ %load 32, V_$006DBC10[0];
+ %load 33, V_$006DBCC8[0];
+ %load 34, V_$006DBCC8[1];
+ %cmpi/u 33, 3, 2;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_19.11, 32;
+ %assign V_$006DB5C8[0], 0, 0;
+ %assign V_$006DB5C8[1], 0, 1;
+ %assign V_$006DB5C8[2], 0, 1;
+ %assign V_$006DB890[0], 0, 1;
+ %assign V_$006DB760[0], 0, 1;
+ %assign V_$006DB760[1], 0, 0;
+ %jmp T_19.12;
+T_19.11 ;
+ %load 32, V_$006DBC10[0];
+ %load 33, V_$006DBCC8[0];
+ %load 34, V_$006DBCC8[1];
+ %cmpi/u 33, 2, 2;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_19.13, 32;
+ %assign V_$006DB5C8[0], 0, 0;
+ %assign V_$006DB5C8[1], 0, 1;
+ %assign V_$006DB5C8[2], 0, 1;
+ %assign V_$006DB890[0], 0, 1;
+ %assign V_$006DB760[0], 0, 0;
+ %assign V_$006DB760[1], 0, 1;
+ %jmp T_19.14;
+T_19.13 ;
+ %load 32, V_$006DBC10[0];
+ %load 33, V_$006DBCC8[0];
+ %load 34, V_$006DBCC8[1];
+ %cmpi/u 33, 0, 2;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_19.15, 32;
+ %assign V_$006DB5C8[0], 0, 1;
+ %assign V_$006DB5C8[1], 0, 1;
+ %assign V_$006DB5C8[2], 0, 0;
+ %assign V_$006DB890[0], 0, 1;
+ %assign V_$006DB918[0], 0, 1;
+ %assign V_$006DB810[0], 0, 0;
+T_19.15 ;
+T_19.14 ;
+T_19.12 ;
+T_19.10 ;
+ %jmp T_19.8;
+T_19.2 ;
+ %load 32, V_$006DBB18[0];
+ %jmp/0xz T_19.17, 32;
+ %assign V_$006DB5C8[0], 0, 1;
+ %assign V_$006DB5C8[1], 0, 0;
+ %assign V_$006DB5C8[2], 0, 0;
+ %assign V_$006DB7C0[0], 0, 1;
+ %assign V_$006DB810[0], 0, 1;
+T_19.17 ;
+ %jmp T_19.8;
+T_19.3 ;
+ %assign V_$006DB918[0], 0, 0;
+ %assign V_$006DB5C8[0], 0, 0;
+ %assign V_$006DB5C8[1], 0, 1;
+ %assign V_$006DB5C8[2], 0, 0;
+ %jmp T_19.8;
+T_19.4 ;
+ %assign V_$006DB728[0], 0, 0;
+ %assign V_$006DB5C8[0], 0, 1;
+ %assign V_$006DB5C8[1], 0, 0;
+ %assign V_$006DB5C8[2], 0, 1;
+ %jmp T_19.8;
+T_19.5 ;
+ %load 32, V_$006DB620[0];
+ %jmp/0xz T_19.19, 32;
+ %assign V_$006DB5C8[0], 0, 1;
+ %assign V_$006DB5C8[1], 0, 0;
+ %assign V_$006DB5C8[2], 0, 0;
+T_19.19 ;
+ %jmp T_19.8;
+T_19.6 ;
+ %assign V_$006DB760[0], 0, 0;
+ %assign V_$006DB760[1], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006DB5C8[0], 0, 1;
+ %jmp T_19.8;
+T_19.7 ;
+ %load 32, V_$006DB9D0[0];
+ %jmp/0xz T_19.21, 32;
+ %assign V_$006DB5C8[0], 0, 1;
+ %assign V_$006DB5C8[1], 0, 0;
+ %assign V_$006DB5C8[2], 0, 0;
+T_19.21 ;
+ %jmp T_19.8;
+T_19.8 ;
+ %jmp T_19;
+ .thread T_19, $push;
+ .scope S_006DA008;
+T_20 ;
+ %wait E_003DE478;
+ %load 32, V_$006DB950[0];
+ %jmp/0xz T_20.0, 32;
+ %ix/load 0, 3;
+ %assign/v0 V_$006DB530[0], 0, 0;
+ %jmp T_20.1;
+T_20.0 ;
+ %load/v 32, V_$006DB5C8[0], 3;
+ %ix/load 0, 3;
+ %assign/v0 V_$006DB530[0], 0, 32;
+T_20.1 ;
+ %jmp T_20;
+ .thread T_20;
+ .scope S_006DA008;
+T_21 ;
+ %wait E_003DE478;
+ %load 32, V_$006DB950[0];
+ %jmp/0xz T_21.0, 32;
+ %assign V_$006DBA28[0], 0, 0;
+ %assign V_$006DBA28[1], 0, 0;
+ %assign V_$006DBDB0[0], 0, 0;
+ %assign V_$006DB678[0], 0, 0;
+ %assign V_$006DAC70[0], 0, 0;
+ %assign V_$006DBC48[0], 0, 0;
+ %assign V_$006DBBB8[0], 0, 1;
+ %jmp T_21.1;
+T_21.0 ;
+ %load/v 32, V_$006DB760[0], 2;
+ %assign V_$006DBA28[0], 0, 32;
+ %assign V_$006DBA28[1], 0, 33;
+ %load/v 32, V_$006DB918[0], 1;
+ %assign V_$006DBDB0[0], 0, 32;
+ %load/v 32, V_$006DB728[0], 1;
+ %assign V_$006DB678[0], 0, 32;
+ %load/v 32, V_$006DB7C0[0], 1;
+ %assign V_$006DAC70[0], 0, 32;
+ %load/v 32, V_$006DB890[0], 1;
+ %assign V_$006DBC48[0], 0, 32;
+ %load/v 32, V_$006DB810[0], 1;
+ %assign V_$006DBBB8[0], 0, 32;
+T_21.1 ;
+ %jmp T_21;
+ .thread T_21;
+ .scope S_006D5E10;
+T_22 ;
+ %wait E_006C8B28;
+ %load/v 32, V_$006D7810[0], 4;
+ %ix/load 0, 4;
+ %assign/v0 V_$006D78C0[0], 0, 32;
+ %load/v 32, V_$006DB188[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA4D0[0], 0, 32;
+ %load/v 32, V_$006D7E68[0], 1;
+ %assign V_$006D8560[0], 0, 32;
+ %load/v 32, V_$006DADF8[0], 1;
+ %assign V_$006DA450[0], 0, 32;
+ %load/v 32, V_$006D8260[0], 2;
+ %assign V_$006D9D58[0], 0, 32;
+ %assign V_$006D9D58[1], 0, 33;
+ %load/v 32, V_$006D71D0[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA638[0], 0, 32;
+ %load/v 32, V_$006DB4B8[0], 1;
+ %assign V_$006DA918[0], 0, 32;
+ %load/v 32, V_$006D7B08[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D8900[0], 0, 32;
+ %load/v 32, V_$006D7C70[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D8B78[0], 0, 32;
+ %load/v 32, V_$006D7DD0[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D90D8[0], 0, 32;
+ %load/v 32, V_$006D80C8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D93B8[0], 0, 32;
+ %load/v 32, V_$006D8228[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D9F90[0], 0, 32;
+ %load/v 32, V_$006D7F80[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D85E0[0], 0, 32;
+ %load/v 32, V_$006DAD18[0], 1;
+ %assign V_$006DA3D0[0], 0, 32;
+ %load/v 32, V_$006D8E20[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA0A8[0], 0, 32;
+ %load/v 32, V_$006D83C0[0], 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D9750[0], 0, 32;
+ %load/v 32, V_$006D83F8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D9AB8[0], 0, 32;
+ %load/v 32, V_$006DACA8[0], 1;
+ %assign V_$006DA348[0], 0, 32;
+ %load/v 32, V_$006D7810[0], 4;
+ %cmpi/u 32, 0, 4;
+ %jmp/1 T_22.0, 6;
+ %cmpi/u 32, 1, 4;
+ %jmp/1 T_22.1, 6;
+ %cmpi/u 32, 2, 4;
+ %jmp/1 T_22.2, 6;
+ %cmpi/u 32, 3, 4;
+ %jmp/1 T_22.3, 6;
+ %cmpi/u 32, 13, 4;
+ %jmp/1 T_22.4, 6;
+ %cmpi/u 32, 4, 4;
+ %jmp/1 T_22.5, 6;
+ %cmpi/u 32, 5, 4;
+ %jmp/1 T_22.6, 6;
+ %cmpi/u 32, 6, 4;
+ %jmp/1 T_22.7, 6;
+ %cmpi/u 32, 7, 4;
+ %jmp/1 T_22.8, 6;
+ %cmpi/u 32, 8, 4;
+ %jmp/1 T_22.9, 6;
+ %cmpi/u 32, 9, 4;
+ %jmp/1 T_22.10, 6;
+ %cmpi/u 32, 10, 4;
+ %jmp/1 T_22.11, 6;
+ %cmpi/u 32, 11, 4;
+ %jmp/1 T_22.12, 6;
+ %cmpi/u 32, 12, 4;
+ %jmp/1 T_22.13, 6;
+ %jmp T_22.14;
+T_22.0 ;
+ %load 32, V_$006DAE30[0];
+ %load 33, V_$006DAE30[1];
+ %load 34, V_$006DAE30[2];
+ %load 35, V_$006DAE30[3];
+ %load 36, V_$006DAE30[4];
+ %load 37, V_$006DAE30[5];
+ %load 38, V_$006DAE30[6];
+ %load 39, V_$006DAE30[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA4D0[0], 0, 32;
+ %assign V_$006D8560[0], 0, 0;
+ %assign V_$006DA450[0], 0, 1;
+ %assign V_$006D9D58[0], 0, 0;
+ %assign V_$006D9D58[1], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA638[0], 0, 0;
+ %assign V_$006DA918[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D8900[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D8B78[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D90D8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D93B8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D9F90[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D85E0[0], 0, 0;
+ %assign V_$006DA3D0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA0A8[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D9750[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D9AB8[0], 0, 0;
+ %assign V_$006DA348[0], 0, 0;
+ %assign V_$006D78C0[0], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D78C0[1], 0, 0;
+ %jmp T_22.14;
+T_22.1 ;
+ %assign V_$006D8560[0], 0, 1;
+ %load 32, V_$006DAE30[0];
+ %load 33, V_$006DAE30[1];
+ %load 34, V_$006DAE30[2];
+ %load 35, V_$006DAE30[3];
+ %load 36, V_$006DAE30[4];
+ %load 37, V_$006DAE30[5];
+ %load 38, V_$006DAE30[6];
+ %load 39, V_$006DAE30[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA4D0[0], 0, 32;
+ %load 32, V_$006D7F00[0];
+ %jmp/0xz T_22.15, 32;
+ %assign V_$006D78C0[0], 0, 0;
+ %assign V_$006D78C0[1], 0, 1;
+ %assign V_$006D78C0[2], 0, 0;
+ %assign V_$006D78C0[3], 0, 0;
+ %assign V_$006D8560[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA0A8[0], 0, 0;
+ %assign V_$006DA4D0[0], 0, 1;
+ %assign V_$006DA4D0[1], 0, 1;
+ %assign V_$006DA4D0[2], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006DA4D0[3], 0, 1;
+ %assign V_$006DA4D0[6], 0, 0;
+ %assign V_$006DA4D0[7], 0, 0;
+ %assign V_$006D9D58[0], 0, 0;
+ %assign V_$006D9D58[1], 0, 0;
+T_22.15 ;
+ %jmp T_22.14;
+T_22.2 ;
+ %load 32, V_$006DB358[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_22.17, 4;
+ %assign V_$006D78C0[0], 0, 1;
+ %assign V_$006D78C0[1], 0, 1;
+ %assign V_$006D78C0[2], 0, 0;
+ %assign V_$006D78C0[3], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA638[0], 0, 1;
+ %assign V_$006DA918[0], 0, 1;
+ %load/v 32, V_$006D8E20[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA0A8[0], 0, 32;
+T_22.17 ;
+ %jmp T_22.14;
+T_22.3 ;
+ %assign V_$006DA918[0], 0, 0;
+ %load/v 32, V_$006D8E20[0], 8;
+ %cmpi/u 32, 160, 8;
+ %jmp/0xz T_22.19, 4;
+ %assign V_$006D78C0[0], 0, 1;
+ %assign V_$006D78C0[1], 0, 0;
+ %assign V_$006D78C0[2], 0, 1;
+ %assign V_$006D78C0[3], 0, 1;
+ %jmp T_22.20;
+T_22.19 ;
+ %assign V_$006D78C0[0], 0, 0;
+ %assign V_$006D78C0[1], 0, 1;
+ %assign V_$006D78C0[2], 0, 0;
+ %assign V_$006D78C0[3], 0, 0;
+T_22.20 ;
+ %jmp T_22.14;
+T_22.4 ;
+ %load 32, V_$006DB2E8[0];
+ %jmp/0xz T_22.21, 32;
+ %assign V_$006D78C0[0], 0, 0;
+ %assign V_$006D78C0[1], 0, 0;
+ %assign V_$006D78C0[2], 0, 1;
+ %assign V_$006D78C0[3], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA0A8[0], 0, 0;
+T_22.21 ;
+ %jmp T_22.14;
+T_22.5 ;
+ %ix/load 0, 6;
+ %assign/v0 V_$006D8900[0], 0, 0;
+ %assign V_$006D8900[6], 0, 1;
+ %assign V_$006D8900[7], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D8B78[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D90D8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D93B8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D9F90[0], 0, 0;
+ %assign V_$006D85E0[0], 0, 1;
+ %assign V_$006D85E0[1], 0, 0;
+ %assign V_$006D85E0[2], 0, 1;
+ %assign V_$006D85E0[3], 0, 0;
+ %assign V_$006D85E0[4], 0, 1;
+ %assign V_$006D85E0[5], 0, 0;
+ %assign V_$006D85E0[6], 0, 0;
+ %assign V_$006D85E0[7], 0, 1;
+ %assign V_$006DA3D0[0], 0, 1;
+ %load/v 32, V_$006D8E20[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA0A8[0], 0, 32;
+ %assign V_$006DA450[0], 0, 0;
+ %assign V_$006D78C0[0], 0, 1;
+ %assign V_$006D78C0[1], 0, 0;
+ %assign V_$006D78C0[2], 0, 1;
+ %assign V_$006D78C0[3], 0, 0;
+ %jmp T_22.14;
+T_22.6 ;
+ %assign V_$006DA3D0[0], 0, 0;
+ %assign V_$006D78C0[0], 0, 0;
+ %assign V_$006D78C0[1], 0, 1;
+ %assign V_$006D78C0[2], 0, 1;
+ %assign V_$006D78C0[3], 0, 0;
+ %jmp T_22.14;
+T_22.7 ;
+ %load 32, V_$006DACE0[0];
+ %jmp/0xz T_22.23, 32;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D78C0[0], 0, 1;
+ %assign V_$006D78C0[3], 0, 0;
+ %assign V_$006DA450[0], 0, 1;
+T_22.23 ;
+ %jmp T_22.14;
+T_22.8 ;
+ %load 32, V_$006DAB98[0];
+ %load 33, V_$006DA998[0];
+ %load 34, V_$006DA998[1];
+ %load 35, V_$006DA998[2];
+ %load 36, V_$006DA998[3];
+ %load 37, V_$006DA998[4];
+ %load 38, V_$006DA998[5];
+ %load 39, V_$006DA998[6];
+ %load 40, V_$006DA998[7];
+ %cmpi/u 33, 1, 8;
+ %inv 4, 1;
+ %mov 33, 4, 1;
+ %or 32, 33, 1;
+ %load/v 33, V_$006D8E20[0], 8;
+ %cmpi/u 33, 255, 8;
+ %inv 4, 1;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_22.25, 32;
+ %assign V_$006D78C0[0], 0, 0;
+ %assign V_$006D78C0[1], 0, 0;
+ %assign V_$006D78C0[2], 0, 1;
+ %assign V_$006D78C0[3], 0, 0;
+ %jmp T_22.26;
+T_22.25 ;
+ %load 32, V_$006DAB98[0];
+ %load 33, V_$006DA998[0];
+ %load 34, V_$006DA998[1];
+ %load 35, V_$006DA998[2];
+ %load 36, V_$006DA998[3];
+ %load 37, V_$006DA998[4];
+ %load 38, V_$006DA998[5];
+ %load 39, V_$006DA998[6];
+ %load 40, V_$006DA998[7];
+ %cmpi/u 33, 1, 8;
+ %inv 4, 1;
+ %mov 33, 4, 1;
+ %or 32, 33, 1;
+ %jmp/0xz T_22.27, 32;
+ %assign V_$006D78C0[0], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D78C0[1], 0, 0;
+ %assign V_$006D9D58[0], 0, 1;
+ %assign V_$006D9D58[1], 0, 0;
+ %jmp T_22.28;
+T_22.27 ;
+ %assign V_$006D78C0[0], 0, 0;
+ %assign V_$006D78C0[1], 0, 1;
+ %assign V_$006D78C0[2], 0, 0;
+ %assign V_$006D78C0[3], 0, 1;
+T_22.28 ;
+T_22.26 ;
+ %jmp T_22.14;
+T_22.9 ;
+ %load 32, V_$006DACE0[0];
+ %jmp/0xz T_22.29, 32;
+ %assign V_$006D78C0[0], 0, 1;
+ %assign V_$006D78C0[1], 0, 0;
+ %assign V_$006D78C0[2], 0, 0;
+ %assign V_$006D78C0[3], 0, 1;
+ %assign V_$006DA450[0], 0, 1;
+T_22.29 ;
+ %jmp T_22.14;
+T_22.10 ;
+ %load 32, V_$006DAB98[0];
+ %load 33, V_$006DA998[0];
+ %load 34, V_$006DA998[1];
+ %load 35, V_$006DA998[2];
+ %load 36, V_$006DA998[3];
+ %load 37, V_$006DA998[4];
+ %load 38, V_$006DA998[5];
+ %load 39, V_$006DA998[6];
+ %load 40, V_$006DA998[7];
+ %cmpi/u 33, 0, 8;
+ %inv 4, 1;
+ %mov 33, 4, 1;
+ %or 32, 33, 1;
+ %load/v 33, V_$006D8E20[0], 8;
+ %cmpi/u 33, 255, 8;
+ %inv 4, 1;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_22.31, 32;
+ %assign V_$006D78C0[0], 0, 0;
+ %assign V_$006D78C0[1], 0, 1;
+ %assign V_$006D78C0[2], 0, 0;
+ %assign V_$006D78C0[3], 0, 1;
+ %jmp T_22.32;
+T_22.31 ;
+ %load 32, V_$006DAB98[0];
+ %load 33, V_$006DA998[0];
+ %load 34, V_$006DA998[1];
+ %load 35, V_$006DA998[2];
+ %load 36, V_$006DA998[3];
+ %load 37, V_$006DA998[4];
+ %load 38, V_$006DA998[5];
+ %load 39, V_$006DA998[6];
+ %load 40, V_$006DA998[7];
+ %cmpi/u 33, 0, 8;
+ %inv 4, 1;
+ %mov 33, 4, 1;
+ %or 32, 33, 1;
+ %jmp/0xz T_22.33, 32;
+ %assign V_$006D78C0[0], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D78C0[1], 0, 0;
+ %assign V_$006D9D58[0], 0, 0;
+ %assign V_$006D9D58[1], 0, 1;
+ %jmp T_22.34;
+T_22.33 ;
+ %assign V_$006D78C0[0], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D78C0[1], 0, 0;
+T_22.34 ;
+T_22.32 ;
+ %jmp T_22.14;
+T_22.11 ;
+ %assign V_$006D8900[0], 0, 1;
+ %ix/load 0, 5;
+ %assign/v0 V_$006D8900[1], 0, 0;
+ %assign V_$006D8900[6], 0, 1;
+ %assign V_$006D8900[7], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D8B78[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D90D8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D93B8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D9F90[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D85E0[0], 0, 1;
+ %assign V_$006DA3D0[0], 0, 1;
+ %load/v 32, V_$006D8E20[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DA0A8[0], 0, 32;
+ %assign V_$006DA450[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D9750[0], 0, 0;
+ %assign V_$006D78C0[0], 0, 1;
+ %assign V_$006D78C0[1], 0, 1;
+ %assign V_$006D78C0[2], 0, 0;
+ %assign V_$006D78C0[3], 0, 1;
+ %jmp T_22.14;
+T_22.12 ;
+ %load/v 32, V_$006D83C0[0], 10;
+ %addi 32, 1, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D9750[0], 0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D9AB8[0], 0, 0;
+ %assign V_$006DA3D0[0], 0, 0;
+ %load/v 32, V_$006D83C0[0], 10;
+ %cmpi/u 32, 375, 10;
+ %jmp/0xz T_22.35, 4;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D78C0[0], 0, 0;
+ %assign V_$006D78C0[3], 0, 1;
+ %jmp T_22.36;
+T_22.35 ;
+ %assign V_$006D78C0[0], 0, 0;
+ %assign V_$006D78C0[1], 0, 0;
+ %assign V_$006D78C0[2], 0, 1;
+ %assign V_$006D78C0[3], 0, 1;
+T_22.36 ;
+ %jmp T_22.14;
+T_22.13 ;
+ %load/v 32, V_$006D83F8[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D9AB8[0], 0, 32;
+ %load/v 32, V_$006D83F8[0], 8;
+ %cmpi/u 32, 255, 8;
+ %jmp/0xz T_22.37, 4;
+ %assign V_$006D78C0[0], 0, 1;
+ %assign V_$006D78C0[1], 0, 1;
+ %assign V_$006D78C0[2], 0, 0;
+ %assign V_$006D78C0[3], 0, 1;
+T_22.37 ;
+ %jmp T_22.14;
+T_22.14 ;
+ %jmp T_22;
+ .thread T_22, $push;
+ .scope S_006D5E10;
+T_23 ;
+ %wait E_003DE478;
+ %load 32, V_$006DAC00[0];
+ %jmp/0xz T_23.0, 32;
+ %ix/load 0, 4;
+ %assign/v0 V_$006D7810[0], 0, 0;
+ %jmp T_23.1;
+T_23.0 ;
+ %load/v 32, V_$006D78C0[0], 4;
+ %ix/load 0, 4;
+ %assign/v0 V_$006D7810[0], 0, 32;
+T_23.1 ;
+ %jmp T_23;
+ .thread T_23;
+ .scope S_006D5E10;
+T_24 ;
+ %wait E_003DE478;
+ %load 32, V_$006DAC00[0];
+ %jmp/0xz T_24.0, 32;
+ %load 32, V_$006DAE30[0];
+ %load 33, V_$006DAE30[1];
+ %load 34, V_$006DAE30[2];
+ %load 35, V_$006DAE30[3];
+ %load 36, V_$006DAE30[4];
+ %load 37, V_$006DAE30[5];
+ %load 38, V_$006DAE30[6];
+ %load 39, V_$006DAE30[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006DB188[0], 0, 32;
+ %assign V_$006D7E68[0], 0, 0;
+ %assign V_$006DADF8[0], 0, 1;
+ %assign V_$006D8260[0], 0, 0;
+ %assign V_$006D8260[1], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D71D0[0], 0, 0;
+ %assign V_$006DB4B8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D7B08[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D7C70[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D7DD0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D80C8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D8228[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D7F80[0], 0, 0;
+ %assign V_$006DAD18[0], 0, 0;
+ %assign V_$006DACA8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D8E20[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D83C0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D83F8[0], 0, 0;
+ %jmp T_24.1;
+T_24.0 ;
+ %load/v 32, V_$006DA4D0[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006DB188[0], 0, 32;
+ %load/v 32, V_$006D8560[0], 1;
+ %assign V_$006D7E68[0], 0, 32;
+ %load/v 32, V_$006DA450[0], 1;
+ %assign V_$006DADF8[0], 0, 32;
+ %load/v 32, V_$006D9D58[0], 2;
+ %assign V_$006D8260[0], 0, 32;
+ %assign V_$006D8260[1], 0, 33;
+ %load/v 32, V_$006DA638[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D71D0[0], 0, 32;
+ %load/v 32, V_$006DA918[0], 1;
+ %assign V_$006DB4B8[0], 0, 32;
+ %load/v 32, V_$006D8900[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D7B08[0], 0, 32;
+ %load/v 32, V_$006D8B78[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D7C70[0], 0, 32;
+ %load/v 32, V_$006D90D8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D7DD0[0], 0, 32;
+ %load/v 32, V_$006D93B8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D80C8[0], 0, 32;
+ %load/v 32, V_$006D9F90[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D8228[0], 0, 32;
+ %load/v 32, V_$006D85E0[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D7F80[0], 0, 32;
+ %load/v 32, V_$006DA3D0[0], 1;
+ %assign V_$006DAD18[0], 0, 32;
+ %load/v 32, V_$006DA348[0], 1;
+ %assign V_$006DACA8[0], 0, 32;
+ %load/v 32, V_$006DA0A8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D8E20[0], 0, 32;
+ %load/v 32, V_$006D9750[0], 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D83C0[0], 0, 32;
+ %load/v 32, V_$006D9AB8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D83F8[0], 0, 32;
+T_24.1 ;
+ %jmp T_24;
+ .thread T_24;
+ .scope S_006D0E50;
+T_25 ;
+ %wait E_006D1810;
+ %load/v 32, V_$006D1830[0], 6;
+ %ix/load 0, 6;
+ %assign/v0 V_$006D1C70[0], 0, 32;
+ %load/v 32, V_$006D6E38[0], 1;
+ %assign V_$006D5F20[0], 0, 32;
+ %load/v 32, V_$006D6C70[0], 1;
+ %assign V_$006D5948[0], 0, 32;
+ %load/v 32, V_$006D6488[0], 2;
+ %assign V_$006D5DD8[0], 0, 32;
+ %assign V_$006D5DD8[1], 0, 33;
+ %load/v 32, V_$006D75E8[0], 2;
+ %assign V_$006D63B0[0], 0, 32;
+ %assign V_$006D63B0[1], 0, 33;
+ %load/v 32, V_$006D7208[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 32;
+ %load/v 32, V_$006D72A8[0], 1;
+ %assign V_$006D62B0[0], 0, 32;
+ %load/v 32, V_$006D6920[0], 1;
+ %assign V_$006D5FB0[0], 0, 32;
+ %load/v 32, V_$006D2970[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3890[0], 0, 32;
+ %load/v 32, V_$006D2AB0[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3B18[0], 0, 32;
+ %load/v 32, V_$006D2C18[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D40D8[0], 0, 32;
+ %load/v 32, V_$006D2D78[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D43B8[0], 0, 32;
+ %load/v 32, V_$006D3138[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4F90[0], 0, 32;
+ %load/v 32, V_$006D2790[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3580[0], 0, 32;
+ %load/v 32, V_$006D6C38[0], 1;
+ %assign V_$006D5880[0], 0, 32;
+ %load/v 32, V_$006D32B0[0], 9;
+ %ix/load 0, 9;
+ %assign/v0 V_$006D5200[0], 0, 32;
+ %load/v 32, V_$006D3278[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4750[0], 0, 32;
+ %load/v 32, V_$006D3310[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4A70[0], 0, 32;
+ %load/v 32, V_$006D7488[0], 1;
+ %assign V_$006D6330[0], 0, 32;
+ %load/v 32, V_$006D6B90[0], 1;
+ %assign V_$006D5800[0], 0, 32;
+ %load/v 32, V_$006D6958[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D5520[0], 0, 32;
+ %load/v 32, V_$006D6CA8[0], 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D5A20[0], 0, 32;
+ %load/v 32, V_$006D3370[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4D10[0], 0, 32;
+ %load/v 32, V_$006D1830[0], 6;
+ %cmpi/u 32, 0, 6;
+ %jmp/1 T_25.0, 6;
+ %cmpi/u 32, 4, 6;
+ %jmp/1 T_25.1, 6;
+ %cmpi/u 32, 1, 6;
+ %jmp/1 T_25.2, 6;
+ %cmpi/u 32, 2, 6;
+ %jmp/1 T_25.3, 6;
+ %cmpi/u 32, 3, 6;
+ %jmp/1 T_25.4, 6;
+ %cmpi/u 32, 5, 6;
+ %jmp/1 T_25.5, 6;
+ %cmpi/u 32, 6, 6;
+ %jmp/1 T_25.6, 6;
+ %cmpi/u 32, 7, 6;
+ %jmp/1 T_25.7, 6;
+ %cmpi/u 32, 8, 6;
+ %jmp/1 T_25.8, 6;
+ %cmpi/u 32, 9, 6;
+ %jmp/1 T_25.9, 6;
+ %cmpi/u 32, 10, 6;
+ %jmp/1 T_25.10, 6;
+ %cmpi/u 32, 40, 6;
+ %jmp/1 T_25.11, 6;
+ %cmpi/u 32, 41, 6;
+ %jmp/1 T_25.12, 6;
+ %cmpi/u 32, 42, 6;
+ %jmp/1 T_25.13, 6;
+ %cmpi/u 32, 43, 6;
+ %jmp/1 T_25.14, 6;
+ %cmpi/u 32, 44, 6;
+ %jmp/1 T_25.15, 6;
+ %cmpi/u 32, 45, 6;
+ %jmp/1 T_25.16, 6;
+ %cmpi/u 32, 23, 6;
+ %jmp/1 T_25.17, 6;
+ %cmpi/u 32, 24, 6;
+ %jmp/1 T_25.18, 6;
+ %cmpi/u 32, 25, 6;
+ %jmp/1 T_25.19, 6;
+ %cmpi/u 32, 26, 6;
+ %jmp/1 T_25.20, 6;
+ %cmpi/u 32, 27, 6;
+ %jmp/1 T_25.21, 6;
+ %cmpi/u 32, 28, 6;
+ %jmp/1 T_25.22, 6;
+ %cmpi/u 32, 29, 6;
+ %jmp/1 T_25.23, 6;
+ %cmpi/u 32, 30, 6;
+ %jmp/1 T_25.24, 6;
+ %cmpi/u 32, 31, 6;
+ %jmp/1 T_25.25, 6;
+ %cmpi/u 32, 46, 6;
+ %jmp/1 T_25.26, 6;
+ %cmpi/u 32, 32, 6;
+ %jmp/1 T_25.27, 6;
+ %cmpi/u 32, 33, 6;
+ %jmp/1 T_25.28, 6;
+ %cmpi/u 32, 34, 6;
+ %jmp/1 T_25.29, 6;
+ %cmpi/u 32, 35, 6;
+ %jmp/1 T_25.30, 6;
+ %cmpi/u 32, 36, 6;
+ %jmp/1 T_25.31, 6;
+ %cmpi/u 32, 37, 6;
+ %jmp/1 T_25.32, 6;
+ %cmpi/u 32, 38, 6;
+ %jmp/1 T_25.33, 6;
+ %cmpi/u 32, 39, 6;
+ %jmp/1 T_25.34, 6;
+ %cmpi/u 32, 11, 6;
+ %jmp/1 T_25.35, 6;
+ %cmpi/u 32, 12, 6;
+ %jmp/1 T_25.36, 6;
+ %cmpi/u 32, 13, 6;
+ %jmp/1 T_25.37, 6;
+ %cmpi/u 32, 14, 6;
+ %jmp/1 T_25.38, 6;
+ %cmpi/u 32, 15, 6;
+ %jmp/1 T_25.39, 6;
+ %cmpi/u 32, 16, 6;
+ %jmp/1 T_25.40, 6;
+ %cmpi/u 32, 17, 6;
+ %jmp/1 T_25.41, 6;
+ %cmpi/u 32, 18, 6;
+ %jmp/1 T_25.42, 6;
+ %cmpi/u 32, 19, 6;
+ %jmp/1 T_25.43, 6;
+ %cmpi/u 32, 20, 6;
+ %jmp/1 T_25.44, 6;
+ %cmpi/u 32, 21, 6;
+ %jmp/1 T_25.45, 6;
+ %cmpi/u 32, 22, 6;
+ %jmp/1 T_25.46, 6;
+ %cmpi/u 32, 47, 6;
+ %jmp/1 T_25.47, 6;
+ %jmp T_25.48;
+T_25.0 ;
+ %assign V_$006D5F20[0], 0, 0;
+ %assign V_$006D5948[0], 0, 1;
+ %assign V_$006D5DD8[0], 0, 0;
+ %assign V_$006D5DD8[1], 0, 0;
+ %assign V_$006D63B0[0], 0, 0;
+ %assign V_$006D63B0[1], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 0;
+ %assign V_$006D62B0[0], 0, 0;
+ %assign V_$006D5FB0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3890[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3B18[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D40D8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D43B8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4F90[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3580[0], 0, 0;
+ %assign V_$006D5880[0], 0, 0;
+ %ix/load 0, 9;
+ %assign/v0 V_$006D5200[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4750[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4A70[0], 0, 0;
+ %assign V_$006D5DD8[0], 0, 0;
+ %assign V_$006D5DD8[1], 0, 0;
+ %assign V_$006D63B0[0], 0, 0;
+ %assign V_$006D63B0[1], 0, 0;
+ %assign V_$006D6330[0], 0, 0;
+ %assign V_$006D5800[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D5520[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D5A20[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4D10[0], 0, 0;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+ %jmp T_25.48;
+T_25.1 ;
+ %assign V_$006D5948[0], 0, 1;
+ %assign V_$006D5F20[0], 0, 1;
+ %load 32, V_$006D6EC0[0];
+ %load 33, V_$006D6EC0[1];
+ %cmpi/u 32, 2, 2;
+ %jmp/0xz T_25.49, 4;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %assign V_$006D5948[0], 0, 0;
+ %assign V_$006D5F20[0], 0, 0;
+ %assign V_$006D5DD8[0], 0, 0;
+ %assign V_$006D5DD8[1], 0, 0;
+ %jmp T_25.50;
+T_25.49 ;
+ %load 32, V_$006D6EC0[0];
+ %load 33, V_$006D6EC0[1];
+ %cmpi/u 32, 1, 2;
+ %jmp/0xz T_25.51, 4;
+ %assign V_$006D1C70[0], 0, 1;
+ %ix/load 0, 5;
+ %assign/v0 V_$006D1C70[1], 0, 0;
+ %assign V_$006D5948[0], 0, 0;
+ %assign V_$006D5F20[0], 0, 0;
+ %assign V_$006D63B0[0], 0, 0;
+ %assign V_$006D63B0[1], 0, 0;
+T_25.51 ;
+T_25.50 ;
+ %jmp T_25.48;
+T_25.2 ;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D3890[0], 0, 0;
+ %assign V_$006D3890[3], 0, 1;
+ %assign V_$006D3890[4], 0, 1;
+ %assign V_$006D3890[5], 0, 0;
+ %assign V_$006D3890[6], 0, 1;
+ %assign V_$006D3890[7], 0, 0;
+ %load 32, V_$006D2078[24];
+ %load 33, V_$006D2078[25];
+ %load 34, V_$006D2078[26];
+ %load 35, V_$006D2078[27];
+ %load 36, V_$006D2078[28];
+ %load 37, V_$006D2078[29];
+ %load 38, V_$006D2078[30];
+ %load 39, V_$006D2078[31];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3B18[0], 0, 32;
+ %load 32, V_$006D2078[16];
+ %load 33, V_$006D2078[17];
+ %load 34, V_$006D2078[18];
+ %load 35, V_$006D2078[19];
+ %load 36, V_$006D2078[20];
+ %load 37, V_$006D2078[21];
+ %load 38, V_$006D2078[22];
+ %load 39, V_$006D2078[23];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D40D8[0], 0, 32;
+ %load 32, V_$006D2078[8];
+ %load 33, V_$006D2078[9];
+ %load 34, V_$006D2078[10];
+ %load 35, V_$006D2078[11];
+ %load 36, V_$006D2078[12];
+ %load 37, V_$006D2078[13];
+ %load 38, V_$006D2078[14];
+ %load 39, V_$006D2078[15];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D43B8[0], 0, 32;
+ %load 32, V_$006D2078[0];
+ %load 33, V_$006D2078[1];
+ %load 34, V_$006D2078[2];
+ %load 35, V_$006D2078[3];
+ %load 36, V_$006D2078[4];
+ %load 37, V_$006D2078[5];
+ %load 38, V_$006D2078[6];
+ %load 39, V_$006D2078[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4F90[0], 0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3580[0], 0, 1;
+ %assign V_$006D5880[0], 0, 1;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 1;
+ %ix/load 0, 4;
+ %assign/v0 V_$006D1C70[2], 0, 0;
+ %jmp T_25.48;
+T_25.3 ;
+ %load 32, V_$006D6C00[0];
+ %load 33, V_$006D6648[0];
+ %load 34, V_$006D6F98[0];
+ %load 35, V_$006D6F98[1];
+ %load 36, V_$006D6F98[2];
+ %load 37, V_$006D6F98[3];
+ %load 38, V_$006D6F98[4];
+ %load 39, V_$006D6F98[5];
+ %load 40, V_$006D6F98[6];
+ %load 41, V_$006D6F98[7];
+ %cmpi/u 34, 0, 8;
+ %inv 4, 1;
+ %mov 34, 4, 1;
+ %or 33, 34, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_25.53, 32;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+ %assign V_$006D63B0[0], 0, 1;
+ %assign V_$006D63B0[1], 0, 0;
+ %jmp T_25.54;
+T_25.53 ;
+ %load 32, V_$006D6C00[0];
+ %jmp/0xz T_25.55, 32;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+T_25.55 ;
+T_25.54 ;
+ %jmp T_25.48;
+T_25.4 ;
+ %assign V_$006D5880[0], 0, 0;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 1;
+ %ix/load 0, 4;
+ %assign/v0 V_$006D1C70[2], 0, 0;
+ %jmp T_25.48;
+T_25.5 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.6 ;
+ %load 32, V_$006D7198[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_25.57, 4;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 1;
+ %assign V_$006D62B0[0], 0, 1;
+T_25.57 ;
+ %jmp T_25.48;
+T_25.7 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.8 ;
+ %load 32, V_$006D7198[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_25.59, 4;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[0], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 1;
+ %assign V_$006D62B0[0], 0, 1;
+T_25.59 ;
+ %jmp T_25.48;
+T_25.9 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %ix/load 0, 4;
+ %assign/v0 V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.10 ;
+ %load 32, V_$006D7198[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_25.61, 4;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 0;
+ %assign V_$006D6008[0], 0, 0;
+ %ix/load 0, 7;
+ %assign/v0 V_$006D6008[1], 0, 1;
+ %assign V_$006D62B0[0], 0, 1;
+T_25.61 ;
+ %jmp T_25.48;
+T_25.11 ;
+ %load/v 32, V_$006D3370[0], 8;
+ %cmpi/u 32, 0, 8;
+ %mov 32, 4, 1;
+ %load/v 33, V_$006D6CA8[0], 10;
+ %cmpi/u 33, 182, 10;
+ %inv 4, 1;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_25.63, 32;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %load/v 32, V_$006D6CA8[0], 10;
+ %addi 32, 1, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D5A20[0], 0, 32;
+ %jmp T_25.64;
+T_25.63 ;
+ %load/v 32, V_$006D6CA8[0], 10;
+ %cmpi/u 32, 182, 10;
+ %jmp/0xz T_25.65, 4;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+ %assign V_$006D63B0[0], 0, 1;
+ %assign V_$006D63B0[1], 0, 1;
+ %jmp T_25.66;
+T_25.65 ;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+T_25.66 ;
+T_25.64 ;
+ %jmp T_25.48;
+T_25.12 ;
+ %load 32, V_$006D68B8[0];
+ %jmp/0xz T_25.67, 32;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %load 32, V_$006D66D8[0];
+ %load 33, V_$006D66D8[1];
+ %load 34, V_$006D66D8[2];
+ %load 35, V_$006D66D8[3];
+ %load 36, V_$006D66D8[4];
+ %load 37, V_$006D66D8[5];
+ %load 38, V_$006D66D8[6];
+ %load 39, V_$006D66D8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4D10[0], 0, 32;
+T_25.67 ;
+ %jmp T_25.48;
+T_25.13 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %assign V_$006D5FB0[0], 0, 0;
+ %load/v 32, V_$006D3278[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4750[0], 0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4A70[0], 0, 0;
+ %load/v 32, V_$006D3278[0], 8;
+ %cmpi/u 32, 255, 8;
+ %jmp/0xz T_25.69, 4;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %jmp T_25.70;
+T_25.69 ;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+T_25.70 ;
+ %jmp T_25.48;
+T_25.14 ;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 1;
+ %assign V_$006D62B0[0], 0, 1;
+ %assign V_$006D5FB0[0], 0, 1;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4750[0], 0, 0;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %jmp T_25.48;
+T_25.15 ;
+ %load/v 32, V_$006D3310[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4A70[0], 0, 32;
+ %load/v 32, V_$006D3310[0], 8;
+ %cmpi/u 32, 255, 8;
+ %jmp/0xz T_25.71, 4;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+T_25.71 ;
+ %jmp T_25.48;
+T_25.16 ;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D5A20[0], 0, 0;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %jmp T_25.48;
+T_25.17 ;
+ %assign V_$006D3890[0], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D3890[1], 0, 0;
+ %assign V_$006D3890[4], 0, 1;
+ %assign V_$006D3890[5], 0, 0;
+ %assign V_$006D3890[6], 0, 1;
+ %assign V_$006D3890[7], 0, 0;
+ %load 32, V_$006D2078[24];
+ %load 33, V_$006D2078[25];
+ %load 34, V_$006D2078[26];
+ %load 35, V_$006D2078[27];
+ %load 36, V_$006D2078[28];
+ %load 37, V_$006D2078[29];
+ %load 38, V_$006D2078[30];
+ %load 39, V_$006D2078[31];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3B18[0], 0, 32;
+ %load 32, V_$006D2078[16];
+ %load 33, V_$006D2078[17];
+ %load 34, V_$006D2078[18];
+ %load 35, V_$006D2078[19];
+ %load 36, V_$006D2078[20];
+ %load 37, V_$006D2078[21];
+ %load 38, V_$006D2078[22];
+ %load 39, V_$006D2078[23];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D40D8[0], 0, 32;
+ %load 32, V_$006D2078[8];
+ %load 33, V_$006D2078[9];
+ %load 34, V_$006D2078[10];
+ %load 35, V_$006D2078[11];
+ %load 36, V_$006D2078[12];
+ %load 37, V_$006D2078[13];
+ %load 38, V_$006D2078[14];
+ %load 39, V_$006D2078[15];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D43B8[0], 0, 32;
+ %load 32, V_$006D2078[0];
+ %load 33, V_$006D2078[1];
+ %load 34, V_$006D2078[2];
+ %load 35, V_$006D2078[3];
+ %load 36, V_$006D2078[4];
+ %load 37, V_$006D2078[5];
+ %load 38, V_$006D2078[6];
+ %load 39, V_$006D2078[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4F90[0], 0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3580[0], 0, 1;
+ %assign V_$006D5880[0], 0, 1;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.18 ;
+ %load 32, V_$006D6C00[0];
+ %load 33, V_$006D6648[0];
+ %load 34, V_$006D6F98[0];
+ %load 35, V_$006D6F98[1];
+ %load 36, V_$006D6F98[2];
+ %load 37, V_$006D6F98[3];
+ %load 38, V_$006D6F98[4];
+ %load 39, V_$006D6F98[5];
+ %load 40, V_$006D6F98[6];
+ %load 41, V_$006D6F98[7];
+ %cmpi/u 34, 0, 8;
+ %inv 4, 1;
+ %mov 34, 4, 1;
+ %or 33, 34, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_25.73, 32;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+ %assign V_$006D5DD8[0], 0, 1;
+ %assign V_$006D5DD8[1], 0, 0;
+ %jmp T_25.74;
+T_25.73 ;
+ %load 32, V_$006D6C00[0];
+ %jmp/0xz T_25.75, 32;
+ %assign V_$006D1C70[0], 0, 0;
+ %ix/load 0, 4;
+ %assign/v0 V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+T_25.75 ;
+T_25.74 ;
+ %jmp T_25.48;
+T_25.19 ;
+ %assign V_$006D5880[0], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.20 ;
+ %load/v 32, V_$006D3370[0], 8;
+ %cmpi/u 32, 254, 8;
+ %inv 4, 1;
+ %mov 32, 4, 1;
+ %load/v 33, V_$006D6CA8[0], 10;
+ %cmpi/u 33, 72, 10;
+ %inv 4, 1;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_25.77, 32;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %load/v 32, V_$006D6CA8[0], 10;
+ %addi 32, 1, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D5A20[0], 0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4750[0], 0, 0;
+ %jmp T_25.78;
+T_25.77 ;
+ %load/v 32, V_$006D6CA8[0], 10;
+ %cmpi/u 32, 72, 10;
+ %jmp/0xz T_25.79, 4;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+ %assign V_$006D5DD8[0], 0, 0;
+ %assign V_$006D5DD8[1], 0, 1;
+ %jmp T_25.80;
+T_25.79 ;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %assign V_$006D5FB0[0], 0, 1;
+T_25.80 ;
+T_25.78 ;
+ %jmp T_25.48;
+T_25.21 ;
+ %load 32, V_$006D68B8[0];
+ %jmp/0xz T_25.81, 32;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %load 32, V_$006D66D8[0];
+ %load 33, V_$006D66D8[1];
+ %load 34, V_$006D66D8[2];
+ %load 35, V_$006D66D8[3];
+ %load 36, V_$006D66D8[4];
+ %load 37, V_$006D66D8[5];
+ %load 38, V_$006D66D8[6];
+ %load 39, V_$006D66D8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4D10[0], 0, 32;
+T_25.81 ;
+ %jmp T_25.48;
+T_25.22 ;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 1;
+ %assign V_$006D62B0[0], 0, 1;
+ %assign V_$006D5FB0[0], 0, 1;
+ %ix/load 0, 5;
+ %assign/v0 V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.23 ;
+ %load/v 32, V_$006D3278[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4750[0], 0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4A70[0], 0, 0;
+ %load/v 32, V_$006D3278[0], 8;
+ %cmpi/u 32, 255, 8;
+ %jmp/0xz T_25.83, 4;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.84;
+T_25.83 ;
+ %assign V_$006D1C70[0], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+T_25.84 ;
+ %jmp T_25.48;
+T_25.24 ;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D5A20[0], 0, 0;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.25 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %assign V_$006D5FB0[0], 0, 0;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.26 ;
+ %load/v 32, V_$006D3310[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4A70[0], 0, 32;
+ %load/v 32, V_$006D3310[0], 8;
+ %cmpi/u 32, 255, 8;
+ %jmp/0xz T_25.85, 4;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+T_25.85 ;
+ %jmp T_25.48;
+T_25.27 ;
+ %assign V_$006D62B0[0], 0, 1;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 1;
+ %load/v 32, V_$006D32B0[0], 9;
+ %addi 32, 1, 9;
+ %ix/load 0, 9;
+ %assign/v0 V_$006D5200[0], 0, 32;
+ %assign V_$006D1C70[0], 0, 1;
+ %ix/load 0, 4;
+ %assign/v0 V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %jmp T_25.48;
+T_25.28 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %load 32, V_$006D68B8[0];
+ %jmp/0xz T_25.87, 32;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %assign V_$006D5800[0], 0, 1;
+ %assign V_$006D5FB0[0], 0, 1;
+ %load 32, V_$006D66D8[0];
+ %load 33, V_$006D66D8[1];
+ %load 34, V_$006D66D8[2];
+ %load 35, V_$006D66D8[3];
+ %load 36, V_$006D66D8[4];
+ %load 37, V_$006D66D8[5];
+ %load 38, V_$006D66D8[6];
+ %load 39, V_$006D66D8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D5520[0], 0, 32;
+T_25.87 ;
+ %jmp T_25.48;
+T_25.29 ;
+ %load/v 32, V_$006D32B0[0], 9;
+ %cmpi/u 32, 0, 9;
+ %jmp/0xz T_25.89, 4;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %assign V_$006D5FB0[0], 0, 0;
+ %assign V_$006D5800[0], 0, 0;
+ %jmp T_25.90;
+T_25.89 ;
+ %ix/load 0, 5;
+ %assign/v0 V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %assign V_$006D5FB0[0], 0, 0;
+ %assign V_$006D5800[0], 0, 0;
+T_25.90 ;
+ %jmp T_25.48;
+T_25.30 ;
+ %ix/load 0, 5;
+ %assign/v0 V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %assign V_$006D5FB0[0], 0, 0;
+ %ix/load 0, 9;
+ %assign/v0 V_$006D5200[0], 0, 0;
+ %jmp T_25.48;
+T_25.31 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %load 32, V_$006D7160[0];
+ %jmp/0xz T_25.91, 32;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+T_25.91 ;
+ %jmp T_25.48;
+T_25.32 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %jmp T_25.48;
+T_25.33 ;
+ %load 32, V_$006D7198[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_25.93, 4;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 1;
+ %assign V_$006D62B0[0], 0, 1;
+T_25.93 ;
+ %jmp T_25.48;
+T_25.34 ;
+ %load 32, V_$006D7198[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_25.95, 4;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 1;
+ %assign V_$006D62B0[0], 0, 1;
+T_25.95 ;
+ %jmp T_25.48;
+T_25.35 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %load/v 32, V_$006D32B0[0], 9;
+ %cmpi/u 32, 0, 9;
+ %jmp/0xz T_25.97, 4;
+ %ix/load 0, 4;
+ %assign/v0 V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.98;
+T_25.97 ;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 0;
+T_25.98 ;
+ %jmp T_25.48;
+T_25.36 ;
+ %load 32, V_$006D7198[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_25.99, 4;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 0;
+ %load 32, V_$006D73E8[0];
+ %load 33, V_$006D73E8[1];
+ %load 34, V_$006D73E8[2];
+ %load 35, V_$006D73E8[3];
+ %load 36, V_$006D73E8[4];
+ %load 37, V_$006D73E8[5];
+ %load 38, V_$006D73E8[6];
+ %load 39, V_$006D73E8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 32;
+ %assign V_$006D62B0[0], 0, 1;
+T_25.99 ;
+ %jmp T_25.48;
+T_25.37 ;
+ %assign V_$006D6330[0], 0, 1;
+ %load/v 32, V_$006D32B0[0], 9;
+ %addi 32, 1, 9;
+ %ix/load 0, 9;
+ %assign/v0 V_$006D5200[0], 0, 32;
+ %assign V_$006D1C70[0], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.38 ;
+ %assign V_$006D6330[0], 0, 0;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.39 ;
+ %ix/load 0, 9;
+ %assign/v0 V_$006D5200[0], 0, 0;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.40 ;
+ %load 32, V_$006D7198[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_25.101, 4;
+ %assign V_$006D1C70[0], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 1;
+ %assign V_$006D62B0[0], 0, 1;
+T_25.101 ;
+ %jmp T_25.48;
+T_25.41 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %jmp T_25.48;
+T_25.42 ;
+ %assign V_$006D62B0[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D5A20[0], 0, 0;
+ %load 32, V_$006D7160[0];
+ %jmp/0xz T_25.103, 32;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+T_25.103 ;
+ %jmp T_25.48;
+T_25.43 ;
+ %load 32, V_$006D7198[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_25.105, 4;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 0;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 1;
+ %assign V_$006D62B0[0], 0, 1;
+T_25.105 ;
+ %jmp T_25.48;
+T_25.44 ;
+ %load/v 32, V_$006D6CA8[0], 10;
+ %cmpi/u 32, 512, 10;
+ %jmp/0xz T_25.107, 4;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006D1C70[3], 0, 0;
+ %assign V_$006D63B0[0], 0, 0;
+ %assign V_$006D63B0[1], 0, 1;
+ %jmp T_25.108;
+T_25.107 ;
+ %load/v 32, V_$006D3370[0], 5;
+ %cmpi/u 32, 5, 5;
+ %jmp/0xz T_25.109, 4;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %jmp T_25.110;
+T_25.109 ;
+ %assign V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+T_25.110 ;
+T_25.108 ;
+ %jmp T_25.48;
+T_25.45 ;
+ %ix/load 0, 4;
+ %assign/v0 V_$006D1C70[0], 0, 1;
+ %assign V_$006D1C70[4], 0, 0;
+ %assign V_$006D1C70[5], 0, 1;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6008[0], 0, 1;
+ %assign V_$006D62B0[0], 0, 1;
+ %load/v 32, V_$006D6CA8[0], 10;
+ %addi 32, 1, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D5A20[0], 0, 32;
+ %assign V_$006D5FB0[0], 0, 1;
+ %jmp T_25.48;
+T_25.46 ;
+ %load 32, V_$006D68B8[0];
+ %jmp/0xz T_25.111, 32;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 0;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %load 32, V_$006D66D8[0];
+ %load 33, V_$006D66D8[1];
+ %load 34, V_$006D66D8[2];
+ %load 35, V_$006D66D8[3];
+ %load 36, V_$006D66D8[4];
+ %load 37, V_$006D66D8[5];
+ %load 38, V_$006D66D8[6];
+ %load 39, V_$006D66D8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D4D10[0], 0, 32;
+T_25.111 ;
+ %jmp T_25.48;
+T_25.47 ;
+ %assign V_$006D1C70[0], 0, 0;
+ %assign V_$006D1C70[1], 0, 1;
+ %assign V_$006D1C70[2], 0, 1;
+ %assign V_$006D1C70[3], 0, 0;
+ %assign V_$006D1C70[4], 0, 1;
+ %assign V_$006D1C70[5], 0, 0;
+ %assign V_$006D62B0[0], 0, 0;
+ %assign V_$006D5FB0[0], 0, 0;
+ %jmp T_25.48;
+T_25.48 ;
+ %jmp T_25;
+ .thread T_25, $push;
+ .scope S_006D0E50;
+T_26 ;
+ %wait E_003DE478;
+ %load 32, V_$006D66A0[0];
+ %jmp/0xz T_26.0, 32;
+ %ix/load 0, 6;
+ %assign/v0 V_$006D1830[0], 0, 0;
+ %jmp T_26.1;
+T_26.0 ;
+ %load/v 32, V_$006D1C70[0], 6;
+ %ix/load 0, 6;
+ %assign/v0 V_$006D1830[0], 0, 32;
+T_26.1 ;
+ %jmp T_26;
+ .thread T_26;
+ .scope S_006D0E50;
+T_27 ;
+ %wait E_003DE478;
+ %load 32, V_$006D66A0[0];
+ %jmp/0xz T_27.0, 32;
+ %assign V_$006D6E38[0], 0, 0;
+ %assign V_$006D6C70[0], 0, 1;
+ %assign V_$006D6488[0], 0, 0;
+ %assign V_$006D6488[1], 0, 0;
+ %assign V_$006D75E8[0], 0, 0;
+ %assign V_$006D75E8[1], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D7208[0], 0, 0;
+ %assign V_$006D72A8[0], 0, 0;
+ %assign V_$006D6920[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D2970[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D2AB0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D2C18[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D2D78[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3138[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D2790[0], 0, 0;
+ %assign V_$006D6C38[0], 0, 0;
+ %assign V_$006D7488[0], 0, 0;
+ %assign V_$006D6B90[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6958[0], 0, 0;
+ %ix/load 0, 9;
+ %assign/v0 V_$006D32B0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3278[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3310[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D6CA8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3370[0], 0, 0;
+ %jmp T_27.1;
+T_27.0 ;
+ %load/v 32, V_$006D5F20[0], 1;
+ %assign V_$006D6E38[0], 0, 32;
+ %load/v 32, V_$006D5948[0], 1;
+ %assign V_$006D6C70[0], 0, 32;
+ %load/v 32, V_$006D5DD8[0], 2;
+ %assign V_$006D6488[0], 0, 32;
+ %assign V_$006D6488[1], 0, 33;
+ %load/v 32, V_$006D63B0[0], 2;
+ %assign V_$006D75E8[0], 0, 32;
+ %assign V_$006D75E8[1], 0, 33;
+ %load/v 32, V_$006D6008[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D7208[0], 0, 32;
+ %load/v 32, V_$006D62B0[0], 1;
+ %assign V_$006D72A8[0], 0, 32;
+ %load/v 32, V_$006D5FB0[0], 1;
+ %assign V_$006D6920[0], 0, 32;
+ %load/v 32, V_$006D3890[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D2970[0], 0, 32;
+ %load/v 32, V_$006D3B18[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D2AB0[0], 0, 32;
+ %load/v 32, V_$006D40D8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D2C18[0], 0, 32;
+ %load/v 32, V_$006D43B8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D2D78[0], 0, 32;
+ %load/v 32, V_$006D4F90[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3138[0], 0, 32;
+ %load/v 32, V_$006D3580[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D2790[0], 0, 32;
+ %load/v 32, V_$006D5880[0], 1;
+ %assign V_$006D6C38[0], 0, 32;
+ %load/v 32, V_$006D6330[0], 1;
+ %assign V_$006D7488[0], 0, 32;
+ %load/v 32, V_$006D5800[0], 1;
+ %assign V_$006D6B90[0], 0, 32;
+ %load/v 32, V_$006D5520[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D6958[0], 0, 32;
+ %load/v 32, V_$006D5200[0], 9;
+ %ix/load 0, 9;
+ %assign/v0 V_$006D32B0[0], 0, 32;
+ %load/v 32, V_$006D4750[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3278[0], 0, 32;
+ %load/v 32, V_$006D4A70[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3310[0], 0, 32;
+ %load/v 32, V_$006D5A20[0], 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D6CA8[0], 0, 32;
+ %load/v 32, V_$006D4D10[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D3370[0], 0, 32;
+T_27.1 ;
+ %jmp T_27;
+ .thread T_27;
+ .scope S_006CC9E8;
+T_28 ;
+ %wait E_006CCC00;
+ %load 32, V_$006D13D0[0];
+ %load 33, V_$006D1428[0];
+ %or 32, 33, 1;
+ %assign V_$006D1398[0], 0, 32;
+ %jmp T_28;
+ .thread T_28, $push;
+ .scope S_006CC9E8;
+T_29 ;
+ %wait E_003DE478;
+ %load 32, V_$006CDA58[0];
+ %load 33, V_$006CDA58[1];
+ %load 34, V_$006CDA58[2];
+ %load 35, V_$006CDA58[3];
+ %load 36, V_$006CDA58[4];
+ %load 37, V_$006CDA58[5];
+ %load 38, V_$006CDA58[6];
+ %load 39, V_$006CDA58[7];
+ %load 40, V_$006CDCF8[0];
+ %load 41, V_$006CDCF8[1];
+ %load 42, V_$006CDCF8[2];
+ %load 43, V_$006CDCF8[3];
+ %load 44, V_$006CDCF8[4];
+ %load 45, V_$006CDCF8[5];
+ %load 46, V_$006CDCF8[6];
+ %load 47, V_$006CDCF8[7];
+ %or 32, 40, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CD788[0], 0, 32;
+ %load 32, V_$006CE428[0];
+ %load 33, V_$006CE428[1];
+ %load 34, V_$006CE428[2];
+ %load 35, V_$006CE428[3];
+ %load 36, V_$006CE428[4];
+ %load 37, V_$006CE428[5];
+ %load 38, V_$006CE428[6];
+ %load 39, V_$006CE428[7];
+ %load 40, V_$006CE538[0];
+ %load 41, V_$006CE538[1];
+ %load 42, V_$006CE538[2];
+ %load 43, V_$006CE538[3];
+ %load 44, V_$006CE538[4];
+ %load 45, V_$006CE538[5];
+ %load 46, V_$006CE538[6];
+ %load 47, V_$006CE538[7];
+ %or 32, 40, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CE188[0], 0, 32;
+ %load 32, V_$006CE460[0];
+ %load 33, V_$006CE460[1];
+ %load 34, V_$006CE460[2];
+ %load 35, V_$006CE460[3];
+ %load 36, V_$006CE460[4];
+ %load 37, V_$006CE460[5];
+ %load 38, V_$006CE460[6];
+ %load 39, V_$006CE460[7];
+ %load 40, V_$006CECD8[0];
+ %load 41, V_$006CECD8[1];
+ %load 42, V_$006CECD8[2];
+ %load 43, V_$006CECD8[3];
+ %load 44, V_$006CECD8[4];
+ %load 45, V_$006CECD8[5];
+ %load 46, V_$006CECD8[6];
+ %load 47, V_$006CECD8[7];
+ %or 32, 40, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CE7B0[0], 0, 32;
+ %load 32, V_$006CF3F8[0];
+ %load 33, V_$006CF3F8[1];
+ %load 34, V_$006CF3F8[2];
+ %load 35, V_$006CF3F8[3];
+ %load 36, V_$006CF3F8[4];
+ %load 37, V_$006CF3F8[5];
+ %load 38, V_$006CF3F8[6];
+ %load 39, V_$006CF3F8[7];
+ %load 40, V_$006CFF80[0];
+ %load 41, V_$006CFF80[1];
+ %load 42, V_$006CFF80[2];
+ %load 43, V_$006CFF80[3];
+ %load 44, V_$006CFF80[4];
+ %load 45, V_$006CFF80[5];
+ %load 46, V_$006CFF80[6];
+ %load 47, V_$006CFF80[7];
+ %or 32, 40, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CF158[0], 0, 32;
+ %load 32, V_$006CFA18[0];
+ %load 33, V_$006CFA18[1];
+ %load 34, V_$006CFA18[2];
+ %load 35, V_$006CFA18[3];
+ %load 36, V_$006CFA18[4];
+ %load 37, V_$006CFA18[5];
+ %load 38, V_$006CFA18[6];
+ %load 39, V_$006CFA18[7];
+ %load 40, V_$006CFCB8[0];
+ %load 41, V_$006CFCB8[1];
+ %load 42, V_$006CFCB8[2];
+ %load 43, V_$006CFCB8[3];
+ %load 44, V_$006CFCB8[4];
+ %load 45, V_$006CFCB8[5];
+ %load 46, V_$006CFCB8[6];
+ %load 47, V_$006CFCB8[7];
+ %or 32, 40, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CF750[0], 0, 32;
+ %load 32, V_$006CD390[0];
+ %load 33, V_$006CD390[1];
+ %load 34, V_$006CD390[2];
+ %load 35, V_$006CD390[3];
+ %load 36, V_$006CD390[4];
+ %load 37, V_$006CD390[5];
+ %load 38, V_$006CD390[6];
+ %load 39, V_$006CD390[7];
+ %load 40, V_$006CDF58[0];
+ %load 41, V_$006CDF58[1];
+ %load 42, V_$006CDF58[2];
+ %load 43, V_$006CDF58[3];
+ %load 44, V_$006CDF58[4];
+ %load 45, V_$006CDF58[5];
+ %load 46, V_$006CDF58[6];
+ %load 47, V_$006CDF58[7];
+ %or 32, 40, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CD0D8[0], 0, 32;
+ %jmp T_29;
+ .thread T_29;
+ .scope S_006CC9E8;
+T_30 ;
+ %wait E_006CCA58;
+ %load/v 32, V_$006CCC20[0], 5;
+ %ix/load 0, 5;
+ %assign/v0 V_$006CCD78[0], 0, 32;
+ %load/v 32, V_$006D17B8[0], 1;
+ %assign V_$006D0AC0[0], 0, 32;
+ %load/v 32, V_$006D1678[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D07C0[0], 0, 32;
+ %load/v 32, V_$006D14A8[0], 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D0F08[0], 0, 32;
+ %load/v 32, V_$006D1308[0], 1;
+ %assign V_$006D04F0[0], 0, 32;
+ %load/v 32, V_$006D0B40[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D01A8[0], 0, 32;
+ %load/v 32, V_$006D1010[0], 1;
+ %assign V_$006D0488[0], 0, 32;
+ %load/v 32, V_$006D1360[0], 1;
+ %assign V_$006D0E18[0], 0, 32;
+ %load/v 32, V_$006CCC20[0], 5;
+ %cmpi/u 32, 17, 5;
+ %jmp/1 T_30.0, 6;
+ %cmpi/u 32, 18, 5;
+ %jmp/1 T_30.1, 6;
+ %cmpi/u 32, 0, 5;
+ %jmp/1 T_30.2, 6;
+ %cmpi/u 32, 1, 5;
+ %jmp/1 T_30.3, 6;
+ %cmpi/u 32, 2, 5;
+ %jmp/1 T_30.4, 6;
+ %cmpi/u 32, 3, 5;
+ %jmp/1 T_30.5, 6;
+ %cmpi/u 32, 4, 5;
+ %jmp/1 T_30.6, 6;
+ %cmpi/u 32, 5, 5;
+ %jmp/1 T_30.7, 6;
+ %cmpi/u 32, 6, 5;
+ %jmp/1 T_30.8, 6;
+ %cmpi/u 32, 7, 5;
+ %jmp/1 T_30.9, 6;
+ %cmpi/u 32, 8, 5;
+ %jmp/1 T_30.10, 6;
+ %cmpi/u 32, 9, 5;
+ %jmp/1 T_30.11, 6;
+ %cmpi/u 32, 10, 5;
+ %jmp/1 T_30.12, 6;
+ %cmpi/u 32, 11, 5;
+ %jmp/1 T_30.13, 6;
+ %cmpi/u 32, 12, 5;
+ %jmp/1 T_30.14, 6;
+ %cmpi/u 32, 13, 5;
+ %jmp/1 T_30.15, 6;
+ %cmpi/u 32, 14, 5;
+ %jmp/1 T_30.16, 6;
+ %cmpi/u 32, 15, 5;
+ %jmp/1 T_30.17, 6;
+ %cmpi/u 32, 16, 5;
+ %jmp/1 T_30.18, 6;
+ %cmpi/u 32, 19, 5;
+ %jmp/1 T_30.19, 6;
+ %jmp T_30.20;
+T_30.0 ;
+ %assign V_$006D0E18[0], 0, 1;
+ %load/v 32, V_$006D1398[0], 1;
+ %jmp/0xz T_30.21, 32;
+ %ix/load 0, 4;
+ %assign/v0 V_$006CCD78[0], 0, 1;
+ %assign V_$006CCD78[4], 0, 0;
+ %assign V_$006D0E18[0], 0, 0;
+ %assign V_$006D0488[0], 0, 0;
+T_30.21 ;
+ %jmp T_30.20;
+T_30.1 ;
+ %assign V_$006D0E18[0], 0, 0;
+ %assign V_$006D0AC0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D07C0[0], 0, 0;
+ %assign V_$006D04F0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D01A8[0], 0, 0;
+ %assign V_$006D0488[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D0F08[0], 0, 0;
+ %assign V_$006CCD78[0], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006CCD78[1], 0, 0;
+ %assign V_$006CCD78[4], 0, 1;
+ %jmp T_30.20;
+T_30.2 ;
+ %assign V_$006D0AC0[0], 0, 0;
+ %assign V_$006CCD78[0], 0, 0;
+ %assign V_$006CCD78[1], 0, 1;
+ %assign V_$006CCD78[2], 0, 0;
+ %assign V_$006CCD78[3], 0, 1;
+ %assign V_$006CCD78[4], 0, 0;
+ %jmp T_30.20;
+T_30.3 ;
+ %load 32, V_$006D1610[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_30.23, 4;
+ %ix/load 0, 5;
+ %assign/v0 V_$006CCD78[0], 0, 0;
+ %load/v 32, V_$006CE7B0[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D07C0[0], 0, 32;
+ %assign V_$006D0AC0[0], 0, 1;
+T_30.23 ;
+ %jmp T_30.20;
+T_30.4 ;
+ %ix/load 0, 4;
+ %assign/v0 V_$006CCD78[0], 0, 0;
+ %assign V_$006CCD78[4], 0, 1;
+ %jmp T_30.20;
+T_30.5 ;
+ %assign V_$006D0AC0[0], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006CCD78[0], 0, 0;
+ %assign V_$006CCD78[3], 0, 1;
+ %assign V_$006CCD78[4], 0, 0;
+ %jmp T_30.20;
+T_30.6 ;
+ %assign V_$006D0AC0[0], 0, 0;
+ %assign V_$006CCD78[0], 0, 1;
+ %ix/load 0, 4;
+ %assign/v0 V_$006CCD78[1], 0, 0;
+ %jmp T_30.20;
+T_30.7 ;
+ %assign V_$006CCD78[0], 0, 1;
+ %assign V_$006CCD78[1], 0, 1;
+ %assign V_$006CCD78[2], 0, 0;
+ %assign V_$006CCD78[3], 0, 0;
+ %assign V_$006CCD78[4], 0, 1;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D07C0[0], 0, 1;
+ %assign V_$006D0AC0[0], 0, 1;
+ %load/v 32, V_$006D14A8[0], 10;
+ %addi 32, 1, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D0F08[0], 0, 32;
+ %assign V_$006D04F0[0], 0, 1;
+ %jmp T_30.20;
+T_30.8 ;
+ %load 32, V_$006D12B0[0];
+ %jmp/0xz T_30.25, 32;
+ %ix/load 0, 3;
+ %assign/v0 V_$006CCD78[0], 0, 1;
+ %assign V_$006CCD78[3], 0, 0;
+ %assign V_$006CCD78[4], 0, 0;
+ %load 32, V_$006D1150[0];
+ %load 33, V_$006D1150[1];
+ %load 34, V_$006D1150[2];
+ %load 35, V_$006D1150[3];
+ %load 36, V_$006D1150[4];
+ %load 37, V_$006D1150[5];
+ %load 38, V_$006D1150[6];
+ %load 39, V_$006D1150[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006D01A8[0], 0, 32;
+T_30.25 ;
+ %jmp T_30.20;
+T_30.9 ;
+ %load/v 32, V_$006D14A8[0], 10;
+ %cmpi/u 32, 512, 10;
+ %jmp/0xz T_30.27, 4;
+ %assign V_$006CCD78[0], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006CCD78[1], 0, 0;
+ %assign V_$006CCD78[4], 0, 1;
+ %assign V_$006D0488[0], 0, 1;
+ %jmp T_30.28;
+T_30.27 ;
+ %load/v 32, V_$006D0B40[7], 1;
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_30.29, 4;
+ %assign V_$006CCD78[0], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006CCD78[1], 0, 0;
+ %assign V_$006CCD78[4], 0, 1;
+ %jmp T_30.30;
+T_30.29 ;
+ %assign V_$006CCD78[0], 0, 1;
+ %assign V_$006CCD78[1], 0, 0;
+ %assign V_$006CCD78[2], 0, 1;
+ %assign V_$006CCD78[3], 0, 0;
+ %assign V_$006CCD78[4], 0, 0;
+T_30.30 ;
+T_30.28 ;
+ %jmp T_30.20;
+T_30.10 ;
+ %load 32, V_$006D1610[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_30.31, 4;
+ %assign V_$006CCD78[0], 0, 0;
+ %assign V_$006CCD78[1], 0, 0;
+ %assign V_$006CCD78[2], 0, 1;
+ %assign V_$006CCD78[3], 0, 0;
+ %assign V_$006CCD78[4], 0, 0;
+ %load/v 32, V_$006CE188[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D07C0[0], 0, 32;
+ %assign V_$006D0AC0[0], 0, 1;
+T_30.31 ;
+ %jmp T_30.20;
+T_30.11 ;
+ %assign V_$006D0AC0[0], 0, 0;
+ %assign V_$006CCD78[0], 0, 0;
+ %assign V_$006CCD78[1], 0, 0;
+ %assign V_$006CCD78[2], 0, 1;
+ %assign V_$006CCD78[3], 0, 1;
+ %assign V_$006CCD78[4], 0, 0;
+ %jmp T_30.20;
+T_30.12 ;
+ %load 32, V_$006D1610[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_30.33, 4;
+ %assign V_$006CCD78[0], 0, 1;
+ %assign V_$006CCD78[1], 0, 0;
+ %assign V_$006CCD78[2], 0, 0;
+ %assign V_$006CCD78[3], 0, 1;
+ %assign V_$006CCD78[4], 0, 0;
+ %load/v 32, V_$006CF158[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D07C0[0], 0, 32;
+ %assign V_$006D0AC0[0], 0, 1;
+T_30.33 ;
+ %jmp T_30.20;
+T_30.13 ;
+ %assign V_$006D0AC0[0], 0, 0;
+ %assign V_$006CCD78[0], 0, 0;
+ %ix/load 0, 3;
+ %assign/v0 V_$006CCD78[1], 0, 1;
+ %assign V_$006CCD78[4], 0, 0;
+ %jmp T_30.20;
+T_30.14 ;
+ %load 32, V_$006D1610[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_30.35, 4;
+ %assign V_$006CCD78[0], 0, 1;
+ %assign V_$006CCD78[1], 0, 1;
+ %assign V_$006CCD78[2], 0, 0;
+ %assign V_$006CCD78[3], 0, 1;
+ %assign V_$006CCD78[4], 0, 0;
+ %load/v 32, V_$006CF750[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D07C0[0], 0, 32;
+ %assign V_$006D0AC0[0], 0, 1;
+T_30.35 ;
+ %jmp T_30.20;
+T_30.15 ;
+ %assign V_$006D0AC0[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D0F08[0], 0, 0;
+ %load 32, V_$006D15B8[0];
+ %jmp/0xz T_30.37, 32;
+ %assign V_$006CCD78[0], 0, 1;
+ %assign V_$006CCD78[1], 0, 0;
+ %assign V_$006CCD78[2], 0, 1;
+ %assign V_$006CCD78[3], 0, 0;
+ %assign V_$006CCD78[4], 0, 0;
+T_30.37 ;
+ %jmp T_30.20;
+T_30.16 ;
+ %load 32, V_$006D1610[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_30.39, 4;
+ %assign V_$006CCD78[0], 0, 1;
+ %assign V_$006CCD78[1], 0, 0;
+ %assign V_$006CCD78[2], 0, 1;
+ %assign V_$006CCD78[3], 0, 1;
+ %assign V_$006CCD78[4], 0, 0;
+ %load/v 32, V_$006CD0D8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D07C0[0], 0, 32;
+ %assign V_$006D0AC0[0], 0, 1;
+T_30.39 ;
+ %jmp T_30.20;
+T_30.17 ;
+ %load 32, V_$006D1610[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_30.41, 4;
+ %assign V_$006CCD78[0], 0, 0;
+ %assign V_$006CCD78[1], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006CCD78[2], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D07C0[0], 0, 1;
+ %assign V_$006D0AC0[0], 0, 1;
+T_30.41 ;
+ %jmp T_30.20;
+T_30.18 ;
+ %assign V_$006D0AC0[0], 0, 0;
+ %load 32, V_$006D1610[0];
+ %cmpi/u 32, 0, 1;
+ %jmp/0xz T_30.43, 4;
+ %assign V_$006CCD78[0], 0, 1;
+ %assign V_$006CCD78[1], 0, 1;
+ %ix/load 0, 3;
+ %assign/v0 V_$006CCD78[2], 0, 0;
+ %load/v 32, V_$006CD788[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D07C0[0], 0, 32;
+ %assign V_$006D0AC0[0], 0, 1;
+T_30.43 ;
+ %jmp T_30.20;
+T_30.19 ;
+ %assign V_$006CCD78[0], 0, 0;
+ %assign V_$006CCD78[1], 0, 1;
+ %assign V_$006CCD78[2], 0, 1;
+ %assign V_$006CCD78[3], 0, 0;
+ %assign V_$006CCD78[4], 0, 0;
+ %assign V_$006D0AC0[0], 0, 0;
+ %assign V_$006D04F0[0], 0, 0;
+ %jmp T_30.20;
+T_30.20 ;
+ %jmp T_30;
+ .thread T_30, $push;
+ .scope S_006CC9E8;
+T_31 ;
+ %wait E_003DE478;
+ %load 32, V_$006D1090[0];
+ %jmp/0xz T_31.0, 32;
+ %assign V_$006CCC20[0], 0, 0;
+ %assign V_$006CCC20[1], 0, 1;
+ %assign V_$006CCC20[2], 0, 0;
+ %assign V_$006CCC20[3], 0, 0;
+ %assign V_$006CCC20[4], 0, 1;
+ %jmp T_31.1;
+T_31.0 ;
+ %load/v 32, V_$006CCD78[0], 5;
+ %ix/load 0, 5;
+ %assign/v0 V_$006CCC20[0], 0, 32;
+T_31.1 ;
+ %jmp T_31;
+ .thread T_31;
+ .scope S_006CC9E8;
+T_32 ;
+ %wait E_003DE478;
+ %load 32, V_$006D1090[0];
+ %jmp/0xz T_32.0, 32;
+ %assign V_$006D17B8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D1678[0], 0, 0;
+ %assign V_$006D1308[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D0B40[0], 0, 0;
+ %assign V_$006D1010[0], 0, 0;
+ %assign V_$006D1360[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D14A8[0], 0, 0;
+ %jmp T_32.1;
+T_32.0 ;
+ %load/v 32, V_$006D0AC0[0], 1;
+ %assign V_$006D17B8[0], 0, 32;
+ %load/v 32, V_$006D07C0[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D1678[0], 0, 32;
+ %load/v 32, V_$006D04F0[0], 1;
+ %assign V_$006D1308[0], 0, 32;
+ %load/v 32, V_$006D01A8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006D0B40[0], 0, 32;
+ %load/v 32, V_$006D0488[0], 1;
+ %assign V_$006D1010[0], 0, 32;
+ %load/v 32, V_$006D0E18[0], 1;
+ %assign V_$006D1360[0], 0, 32;
+ %load/v 32, V_$006D0F08[0], 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006D14A8[0], 0, 32;
+T_32.1 ;
+ %jmp T_32;
+ .thread T_32;
+ .scope S_006CABC8;
+T_33 ;
+ %wait E_003DE478;
+ %load 32, V_$006CBE80[0];
+ %jmp/0xz T_33.0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CC858[0], 0, 0;
+ %assign V_$006CC7A8[0], 0, 0;
+ %jmp T_33.1;
+T_33.0 ;
+ %load 32, V_$006CBD38[0];
+ %jmp/0xz T_33.2, 32;
+ %load 32, V_$006CBA78[0];
+ %load 33, V_$006CBA78[1];
+ %load 34, V_$006CBA78[2];
+ %load 35, V_$006CBA78[3];
+ %load 36, V_$006CBA78[4];
+ %load 37, V_$006CBA78[5];
+ %load 38, V_$006CBA78[6];
+ %load 39, V_$006CBA78[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006CC858[0], 0, 32;
+ %assign V_$006CC7A8[0], 0, 1;
+ %jmp T_33.3;
+T_33.2 ;
+ %load 32, V_$006CBAB0[0];
+ %jmp/0xz T_33.4, 32;
+ %load 32, V_$006CC038[0];
+ %load 33, V_$006CC038[1];
+ %load 34, V_$006CC038[2];
+ %load 35, V_$006CC038[3];
+ %load 36, V_$006CC038[4];
+ %load 37, V_$006CC038[5];
+ %load 38, V_$006CC038[6];
+ %load 39, V_$006CC038[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006CC858[0], 0, 32;
+ %assign V_$006CC7A8[0], 0, 1;
+ %jmp T_33.5;
+T_33.4 ;
+ %load 32, V_$006CCE78[0];
+ %jmp/0xz T_33.6, 32;
+ %load 32, V_$006CC2F8[0];
+ %load 33, V_$006CC2F8[1];
+ %load 34, V_$006CC2F8[2];
+ %load 35, V_$006CC2F8[3];
+ %load 36, V_$006CC2F8[4];
+ %load 37, V_$006CC2F8[5];
+ %load 38, V_$006CC2F8[6];
+ %load 39, V_$006CC2F8[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006CC858[0], 0, 32;
+ %assign V_$006CC7A8[0], 0, 1;
+ %jmp T_33.7;
+T_33.6 ;
+ %load 32, V_$006CC720[0];
+ %jmp/0xz T_33.8, 32;
+ %load 32, V_$006CCF00[0];
+ %load 33, V_$006CCF00[1];
+ %load 34, V_$006CCF00[2];
+ %load 35, V_$006CCF00[3];
+ %load 36, V_$006CCF00[4];
+ %load 37, V_$006CCF00[5];
+ %load 38, V_$006CCF00[6];
+ %load 39, V_$006CCF00[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006CC858[0], 0, 32;
+ %assign V_$006CC7A8[0], 0, 1;
+T_33.8 ;
+T_33.7 ;
+T_33.5 ;
+T_33.3 ;
+ %load 32, V_$006CC800[0];
+ %jmp/0xz T_33.10, 32;
+ %assign V_$006CC7A8[0], 0, 0;
+T_33.10 ;
+T_33.1 ;
+ %jmp T_33;
+ .thread T_33;
+ .scope S_006CABC8;
+T_34 ;
+ %wait E_003DE478;
+ %load 32, V_$006CBE80[0];
+ %jmp/0xz T_34.0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CB750[0], 0, 0;
+ %assign V_$006CB9B8[0], 0, 0;
+ %jmp T_34.1;
+T_34.0 ;
+ %load 32, V_$006CBEB8[0];
+ %load 33, V_$006CBF60[0];
+ %or 32, 33, 1;
+ %load 33, V_$006CB4F8[0];
+ %or 32, 33, 1;
+ %load 33, V_$006CB568[0];
+ %or 32, 33, 1;
+ %jmp/0xz T_34.2, 32;
+ %assign V_$006CB9B8[0], 0, 0;
+T_34.2 ;
+ %load 32, V_$006CBA20[0];
+ %jmp/0xz T_34.4, 32;
+ %assign V_$006CB9B8[0], 0, 1;
+ %load 32, V_$006CB5F0[0];
+ %load 33, V_$006CB5F0[1];
+ %load 34, V_$006CB5F0[2];
+ %load 35, V_$006CB5F0[3];
+ %load 36, V_$006CB5F0[4];
+ %load 37, V_$006CB5F0[5];
+ %load 38, V_$006CB5F0[6];
+ %load 39, V_$006CB5F0[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006CB750[0], 0, 32;
+T_34.4 ;
+T_34.1 ;
+ %jmp T_34;
+ .thread T_34;
+ .scope S_006C9128;
+T_35 ;
+ %wait E_006C8530;
+ %load/v 32, V_$006C9298[0], 2;
+ %assign V_$006C92D0[0], 0, 32;
+ %assign V_$006C92D0[1], 0, 33;
+ %load/v 32, V_$006CA688[0], 1;
+ %assign V_$006C9938[0], 0, 32;
+ %load/v 32, V_$006CAB30[0], 1;
+ %assign V_$006C99F8[0], 0, 32;
+ %load/v 32, V_$006CB278[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CA2F0[0], 0, 32;
+ %load/v 32, V_$006CA718[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C99C0[0], 0, 32;
+ %load/v 32, V_$006C9BE0[0], 4;
+ %ix/load 0, 4;
+ %assign/v0 V_$006C9FA0[0], 0, 32;
+ %load/v 32, V_$006C9D00[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9440[0], 0, 32;
+ %load/v 32, V_$006CAC78[0], 1;
+ %assign V_$006CA268[0], 0, 32;
+ %load/v 32, V_$006CAA28[0], 1;
+ %assign V_$006CA118[0], 0, 32;
+ %load/v 32, V_$006CAAD8[0], 1;
+ %assign V_$006CA198[0], 0, 32;
+ %load/v 32, V_$006C90B8[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9660[0], 0, 32;
+ %load/v 32, V_$006C9298[0], 2;
+ %cmpi/u 32, 0, 2;
+ %jmp/1 T_35.0, 6;
+ %cmpi/u 32, 1, 2;
+ %jmp/1 T_35.1, 6;
+ %cmpi/u 32, 2, 2;
+ %jmp/1 T_35.2, 6;
+ %cmpi/u 32, 3, 2;
+ %jmp/1 T_35.3, 6;
+ %jmp T_35.4;
+T_35.0 ;
+ %assign V_$006C9938[0], 0, 0;
+ %assign V_$006C99F8[0], 0, 1;
+ %load 32, V_$006CAB90[0];
+ %jmp/0xz T_35.5, 32;
+ %assign V_$006C92D0[0], 0, 1;
+ %assign V_$006C92D0[1], 0, 0;
+ %load 32, V_$006CAD08[0];
+ %load 33, V_$006CAD08[1];
+ %load 34, V_$006CAD08[2];
+ %load 35, V_$006CAD08[3];
+ %load 36, V_$006CAD08[4];
+ %load 37, V_$006CAD08[5];
+ %load 38, V_$006CAD08[6];
+ %load 39, V_$006CAD08[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006CA2F0[0], 0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C99C0[0], 0, 0;
+ %ix/load 0, 4;
+ %assign/v0 V_$006C9FA0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9440[0], 0, 0;
+ %assign V_$006CA268[0], 0, 1;
+ %assign V_$006C99F8[0], 0, 0;
+T_35.5 ;
+ %jmp T_35.4;
+T_35.1 ;
+ %load/v 32, V_$006C9D00[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9440[0], 0, 32;
+ %assign V_$006CA268[0], 0, 0;
+ %assign V_$006C9938[0], 0, 0;
+ %load/v 32, V_$006C9D00[0], 8;
+ %load 40, V_$006C9CC8[0];
+ %load 41, V_$006C9CC8[1];
+ %load 42, V_$006C9CC8[2];
+ %load 43, V_$006C9CC8[3];
+ %load 44, V_$006C9CC8[4];
+ %load 45, V_$006C9CC8[5];
+ %load 46, V_$006C9CC8[6];
+ %load 47, V_$006C9CC8[7];
+ %cmp/u 32, 40, 8;
+ %mov 32, 4, 1;
+ %jmp/0xz T_35.7, 32;
+ %assign V_$006C92D0[0], 0, 0;
+ %assign V_$006C92D0[1], 0, 1;
+ %assign V_$006CA118[0], 0, 0;
+ %load/v 32, V_$006CB278[7], 1;
+ %assign V_$006CA198[0], 0, 32;
+ %mov 32, 0, 1;
+ %load/v 33, V_$006CB278[0], 7;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CA2F0[0], 0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9440[0], 0, 0;
+T_35.7 ;
+ %jmp T_35.4;
+T_35.2 ;
+ %load/v 32, V_$006C9D00[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9440[0], 0, 32;
+ %load/v 32, V_$006C9BE0[0], 4;
+ %cmpi/u 32, 8, 4;
+ %mov 32, 4, 1;
+ %load 33, V_$006CAB90[0];
+ %and 32, 33, 1;
+ %jmp/0xz T_35.9, 32;
+ %assign V_$006C92D0[0], 0, 1;
+ %assign V_$006C92D0[1], 0, 0;
+ %assign V_$006C9938[0], 0, 1;
+ %load/v 32, V_$006CA718[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9660[0], 0, 32;
+ %load 32, V_$006CAD08[0];
+ %load 33, V_$006CAD08[1];
+ %load 34, V_$006CAD08[2];
+ %load 35, V_$006CAD08[3];
+ %load 36, V_$006CAD08[4];
+ %load 37, V_$006CAD08[5];
+ %load 38, V_$006CAD08[6];
+ %load 39, V_$006CAD08[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006CA2F0[0], 0, 32;
+ %ix/load 0, 4;
+ %assign/v0 V_$006C9FA0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9440[0], 0, 0;
+ %assign V_$006CA268[0], 0, 1;
+ %jmp T_35.10;
+T_35.9 ;
+ %load/v 32, V_$006C9BE0[0], 4;
+ %cmpi/u 32, 8, 4;
+ %jmp/0xz T_35.11, 4;
+ %assign V_$006C92D0[0], 0, 0;
+ %assign V_$006C92D0[1], 0, 0;
+ %assign V_$006C9938[0], 0, 1;
+ %load/v 32, V_$006CA718[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9660[0], 0, 32;
+ %jmp T_35.12;
+T_35.11 ;
+ %load/v 32, V_$006C9D00[0], 8;
+ %load 40, V_$006C9CC8[0];
+ %load 41, V_$006C9CC8[1];
+ %load 42, V_$006C9CC8[2];
+ %load 43, V_$006C9CC8[3];
+ %load 44, V_$006C9CC8[4];
+ %load 45, V_$006C9CC8[5];
+ %load 46, V_$006C9CC8[6];
+ %load 47, V_$006C9CC8[7];
+ %cmp/u 32, 40, 8;
+ %mov 32, 4, 1;
+ %jmp/0xz T_35.13, 32;
+ %assign V_$006C92D0[0], 0, 1;
+ %assign V_$006C92D0[1], 0, 0;
+ %assign V_$006CA118[0], 0, 1;
+ %load/v 32, V_$006C9BE0[0], 4;
+ %addi 32, 1, 4;
+ %ix/load 0, 4;
+ %assign/v0 V_$006C9FA0[0], 0, 32;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9440[0], 0, 0;
+ %load 32, V_$006CAA80[0];
+ %load/v 33, V_$006CA718[0], 7;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C99C0[0], 0, 32;
+T_35.13 ;
+T_35.12 ;
+T_35.10 ;
+ %jmp T_35.4;
+T_35.3 ;
+ %ix/load 0, 4;
+ %assign/v0 V_$006C9FA0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9440[0], 0, 0;
+ %assign V_$006CA268[0], 0, 0;
+ %assign V_$006C9938[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CA2F0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C99C0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9660[0], 0, 0;
+ %assign V_$006CA198[0], 0, 0;
+ %assign V_$006CA118[0], 0, 0;
+ %assign V_$006C99F8[0], 0, 0;
+ %assign V_$006C92D0[0], 0, 0;
+ %assign V_$006C92D0[1], 0, 0;
+ %jmp T_35.4;
+T_35.4 ;
+ %jmp T_35;
+ .thread T_35, $push;
+ .scope S_006C9128;
+T_36 ;
+ %wait E_003DE478;
+ %load 32, V_$006CAEC0[0];
+ %jmp/0xz T_36.0, 32;
+ %assign V_$006C9298[0], 0, 1;
+ %assign V_$006C9298[1], 0, 1;
+ %jmp T_36.1;
+T_36.0 ;
+ %load/v 32, V_$006C92D0[0], 2;
+ %assign V_$006C9298[0], 0, 32;
+ %assign V_$006C9298[1], 0, 33;
+T_36.1 ;
+ %jmp T_36;
+ .thread T_36;
+ .scope S_006C9128;
+T_37 ;
+ %wait E_003DE478;
+ %load 32, V_$006CAEC0[0];
+ %jmp/0xz T_37.0, 32;
+ %assign V_$006CA688[0], 0, 0;
+ %assign V_$006CAB30[0], 0, 0;
+ %assign V_$006CAC78[0], 0, 0;
+ %assign V_$006CAA28[0], 0, 0;
+ %assign V_$006CAAD8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C90B8[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CB278[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CA718[0], 0, 0;
+ %ix/load 0, 4;
+ %assign/v0 V_$006C9BE0[0], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9D00[0], 0, 0;
+ %jmp T_37.1;
+T_37.0 ;
+ %load/v 32, V_$006C9938[0], 1;
+ %assign V_$006CA688[0], 0, 32;
+ %load/v 32, V_$006C99F8[0], 1;
+ %assign V_$006CAB30[0], 0, 32;
+ %load/v 32, V_$006CA268[0], 1;
+ %assign V_$006CAC78[0], 0, 32;
+ %load/v 32, V_$006CA118[0], 1;
+ %assign V_$006CAA28[0], 0, 32;
+ %load/v 32, V_$006CA198[0], 1;
+ %assign V_$006CAAD8[0], 0, 32;
+ %load/v 32, V_$006C9660[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C90B8[0], 0, 32;
+ %load/v 32, V_$006CA2F0[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CB278[0], 0, 32;
+ %load/v 32, V_$006C99C0[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006CA718[0], 0, 32;
+ %load/v 32, V_$006C9FA0[0], 4;
+ %ix/load 0, 4;
+ %assign/v0 V_$006C9BE0[0], 0, 32;
+ %load/v 32, V_$006C9440[0], 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C9D00[0], 0, 32;
+T_37.1 ;
+ %jmp T_37;
+ .thread T_37;
+ .scope S_006C5C68;
+T_38 ;
+ %wait E_003DE478;
+ %load 32, V_$006C6048[0];
+ %load 33, V_$006C6048[1];
+ %load 34, V_$006C6048[2];
+ %load 35, V_$006C6048[3];
+ %load 36, V_$006C6048[4];
+ %load 37, V_$006C6048[5];
+ %load 38, V_$006C6048[6];
+ %load 39, V_$006C6048[7];
+ %load 40, V_$006C6048[8];
+ %ix/get 3, 32, 9;
+ %ix/mul 3, 8;
+ %load/m 32, M_$006C68E0;
+ %ix/add 3, 1;
+ %load/m 33, M_$006C68E0;
+ %ix/add 3, 1;
+ %load/m 34, M_$006C68E0;
+ %ix/add 3, 1;
+ %load/m 35, M_$006C68E0;
+ %ix/add 3, 1;
+ %load/m 36, M_$006C68E0;
+ %ix/add 3, 1;
+ %load/m 37, M_$006C68E0;
+ %ix/add 3, 1;
+ %load/m 38, M_$006C68E0;
+ %ix/add 3, 1;
+ %load/m 39, M_$006C68E0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006C53E0[0], 0, 32;
+ %jmp T_38;
+ .thread T_38;
+ .scope S_006C5C68;
+T_39 ;
+ %wait E_003DE0F8;
+ %load 32, V_$006C5300[0];
+ %jmp/0xz T_39.0, 32;
+ %load 32, V_$006C6340[0];
+ %load 33, V_$006C6340[1];
+ %load 34, V_$006C6340[2];
+ %load 35, V_$006C6340[3];
+ %load 36, V_$006C6340[4];
+ %load 37, V_$006C6340[5];
+ %load 38, V_$006C6340[6];
+ %load 39, V_$006C6340[7];
+ %load 40, V_$006C5B38[0];
+ %load 41, V_$006C5B38[1];
+ %load 42, V_$006C5B38[2];
+ %load 43, V_$006C5B38[3];
+ %load 44, V_$006C5B38[4];
+ %load 45, V_$006C5B38[5];
+ %load 46, V_$006C5B38[6];
+ %load 47, V_$006C5B38[7];
+ %load 48, V_$006C5B38[8];
+ %ix/get 3, 40, 9;
+ %ix/mul 3, 8;
+ %jmp/1 t_833, 4;
+ %assign/m M_$006C68E0, 0, 32;
+ %ix/add 3, 1;
+ %assign/m M_$006C68E0, 0, 33;
+ %ix/add 3, 1;
+ %assign/m M_$006C68E0, 0, 34;
+ %ix/add 3, 1;
+ %assign/m M_$006C68E0, 0, 35;
+ %ix/add 3, 1;
+ %assign/m M_$006C68E0, 0, 36;
+ %ix/add 3, 1;
+ %assign/m M_$006C68E0, 0, 37;
+ %ix/add 3, 1;
+ %assign/m M_$006C68E0, 0, 38;
+ %ix/add 3, 1;
+ %assign/m M_$006C68E0, 0, 39;
+t_833 ;
+T_39.0 ;
+ %jmp T_39;
+ .thread T_39;
+ .scope S_006C5A78;
+T_40 ;
+ %wait E_003DE0F8;
+ %load/v 32, V_$006C73C8[0], 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C7F50[0], 0, 32;
+ %load 32, V_$006C8618[0];
+ %load 33, V_$006C8210[0];
+ %or 32, 33, 1;
+ %jmp/0xz T_40.0, 32;
+ %assign V_$006C8098[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C5290[0], 0, 0;
+ %jmp T_40.1;
+T_40.0 ;
+ %load 32, V_$006C81A0[0];
+ %jmp/0xz T_40.2, 32;
+ %load/v 32, V_$006C5290[0], 10;
+ %addi 32, 1, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C5290[0], 0, 32;
+T_40.2 ;
+ %load/v 32, V_$006C7F50[0], 9;
+ %load/v 41, V_$006C5290[0], 9;
+ %cmp/u 32, 41, 9;
+ %mov 32, 4, 1;
+ %load/v 33, V_$006C7F50[9], 1;
+ %load/v 34, V_$006C5290[9], 1;
+ %cmp/u 33, 34, 1;
+ %inv 4, 1;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_40.4, 32;
+ %assign V_$006C8098[0], 0, 1;
+ %jmp T_40.5;
+T_40.4 ;
+ %assign V_$006C8098[0], 0, 0;
+T_40.5 ;
+T_40.1 ;
+ %jmp T_40;
+ .thread T_40;
+ .scope S_006C5A78;
+T_41 ;
+ %wait E_003DDD60;
+ %load/v 32, V_$006C5258[0], 10;
+ %load/v 42, V_$006C73C8[0], 10;
+ %sub 32, 42, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C52C8[0], 0, 32;
+ %jmp T_41;
+ .thread T_41, $push;
+ .scope S_006C5A78;
+T_42 ;
+ %wait E_003DE478;
+ %load/v 32, V_$006C52C8[0], 10;
+ %mov 42, 0, 8;
+ %ix/load 0, 16;
+ %assign/v0 V_$006C82B8[0], 0, 32;
+ %load/v 32, V_$006C5290[0], 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C5258[0], 0, 32;
+ %load 32, V_$006C85E0[0];
+ %load 33, V_$006C81D8[0];
+ %or 32, 33, 1;
+ %jmp/0xz T_42.0, 32;
+ %assign V_$006C7FF8[0], 0, 1;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C73C8[0], 0, 0;
+ %assign V_$006C8030[0], 0, 0;
+ %jmp T_42.1;
+T_42.0 ;
+ %load 32, V_$006C8118[0];
+ %assign V_$006C8030[0], 0, 32;
+ %load/v 33, V_$006C8030[0], 1;
+ %cmpi/u 33, 0, 1;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_42.2, 32;
+ %load 32, V_$006C7978[0];
+ %load 33, V_$006C7978[1];
+ %load 34, V_$006C7978[2];
+ %load 35, V_$006C7978[3];
+ %load 36, V_$006C7978[4];
+ %load 37, V_$006C7978[5];
+ %load 38, V_$006C7978[6];
+ %load 39, V_$006C7978[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006C7CF0[0], 0, 32;
+ %load/v 32, V_$006C73C8[0], 10;
+ %addi 32, 1, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C73C8[0], 0, 32;
+T_42.2 ;
+ %load/v 32, V_$006C5258[0], 10;
+ %load/v 42, V_$006C73C8[0], 10;
+ %cmp/u 32, 42, 10;
+ %mov 32, 4, 1;
+ %jmp/0xz T_42.4, 32;
+ %assign V_$006C7FF8[0], 0, 1;
+ %jmp T_42.5;
+T_42.4 ;
+ %assign V_$006C7FF8[0], 0, 0;
+T_42.5 ;
+T_42.1 ;
+ %jmp T_42;
+ .thread T_42;
+ .scope S_006C5A78;
+T_43 ;
+ %wait E_005F17F0;
+ %load/v 32, V_$006C5290[0], 9;
+ %ix/load 0, 9;
+ %assign/v0 V_$006C7270[0], 0, 32;
+ %load/v 32, V_$006C73C8[0], 9;
+ %ix/load 0, 9;
+ %assign/v0 V_$006C77D8[0], 0, 32;
+ %jmp T_43;
+ .thread T_43, $push;
+ .scope S_006C5098;
+T_44 ;
+ %wait E_003DE0F8;
+ %load 32, V_$006C5A08[0];
+ %load 33, V_$006C5478[0];
+ %and 32, 33, 1;
+ %load 33, V_$006C5060[0];
+ %load 34, V_$006C5060[1];
+ %load 35, V_$006C5060[2];
+ %cmpi/u 33, 4, 3;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %load 33, V_$006C4F40[0];
+ %and 32, 33, 1;
+ %load 33, V_$006C51F8[0];
+ %and 32, 33, 1;
+ %jmp/0xz T_44.0, 32;
+ %assign V_$006C54E8[0], 0, 1;
+ %jmp T_44.1;
+T_44.0 ;
+ %assign V_$006C54E8[0], 0, 0;
+T_44.1 ;
+ %jmp T_44;
+ .thread T_44;
+ .scope S_006C5098;
+T_45 ;
+ %wait E_003DE0F8;
+ %load 32, V_$006C58F0[0];
+ %jmp/0xz T_45.0, 32;
+ %ix/load 0, 6;
+ %assign/v0 V_$006C5548[0], 0, 0;
+ %jmp T_45.1;
+T_45.0 ;
+ %load/v 32, V_$006C54E8[0], 1;
+ %jmp/0xz T_45.2, 32;
+ %ix/load 0, 6;
+ %assign/v0 V_$006C5548[0], 0, 1;
+ %jmp T_45.3;
+T_45.2 ;
+ %load/v 32, V_$006C5548[1], 5;
+ %mov 37, 0, 1;
+ %ix/load 0, 6;
+ %assign/v0 V_$006C5548[0], 0, 32;
+T_45.3 ;
+T_45.1 ;
+ %jmp T_45;
+ .thread T_45;
+ .scope S_006C5098;
+T_46 ;
+ %wait E_003DE478;
+ %load 32, V_$006C5580[0];
+ %assign V_$006C55F0[0], 0, 32;
+ %load/v 32, V_$006C55F0[0], 1;
+ %assign V_$006C55B8[0], 0, 32;
+ %jmp T_46;
+ .thread T_46;
+ .scope S_006C5098;
+T_47 ;
+ %wait E_006C45B0;
+ %load 32, V_$006C5060[0];
+ %load 33, V_$006C5060[1];
+ %load 34, V_$006C5060[2];
+ %cmpi/u 32, 0, 3;
+ %mov 32, 4, 1;
+ %load 33, V_$006C5A08[0];
+ %and 32, 33, 1;
+ %load 33, V_$006C4F40[0];
+ %and 32, 33, 1;
+ %load 33, V_$006C5478[0];
+ %and 32, 33, 1;
+ %jmp/0xz T_47.0, 32;
+ %assign V_$006C54B0[0], 0, 1;
+ %jmp T_47.1;
+T_47.0 ;
+ %assign V_$006C54B0[0], 0, 0;
+T_47.1 ;
+ %jmp T_47;
+ .thread T_47, $push;
+ .scope S_006C2228;
+T_48 ;
+ %wait E_003DE0F8;
+ %load 32, V_$006AC4E0[0];
+ %load 33, V_$006AC4E0[1];
+ %load 34, V_$006AC4E0[2];
+ %load 35, V_$006AC4E0[3];
+ %load 36, V_$006AC4E0[4];
+ %load 37, V_$006AC4E0[5];
+ %load 38, V_$006AC4E0[6];
+ %load 39, V_$006AC4E0[7];
+ %load 40, V_$006AC4E0[8];
+ %ix/get 3, 32, 9;
+ %ix/mul 3, 8;
+ %load/m 32, M_$005D1E00;
+ %ix/add 3, 1;
+ %load/m 33, M_$005D1E00;
+ %ix/add 3, 1;
+ %load/m 34, M_$005D1E00;
+ %ix/add 3, 1;
+ %load/m 35, M_$005D1E00;
+ %ix/add 3, 1;
+ %load/m 36, M_$005D1E00;
+ %ix/add 3, 1;
+ %load/m 37, M_$005D1E00;
+ %ix/add 3, 1;
+ %load/m 38, M_$005D1E00;
+ %ix/add 3, 1;
+ %load/m 39, M_$005D1E00;
+ %ix/load 0, 8;
+ %assign/v0 V_$00639738[0], 0, 32;
+ %jmp T_48;
+ .thread T_48;
+ .scope S_006C2228;
+T_49 ;
+ %wait E_003DE478;
+ %load 32, V_$006C27D8[0];
+ %jmp/0xz T_49.0, 32;
+ %load 32, V_$006AD2C8[0];
+ %load 33, V_$006AD2C8[1];
+ %load 34, V_$006AD2C8[2];
+ %load 35, V_$006AD2C8[3];
+ %load 36, V_$006AD2C8[4];
+ %load 37, V_$006AD2C8[5];
+ %load 38, V_$006AD2C8[6];
+ %load 39, V_$006AD2C8[7];
+ %load 40, V_$006AC480[0];
+ %load 41, V_$006AC480[1];
+ %load 42, V_$006AC480[2];
+ %load 43, V_$006AC480[3];
+ %load 44, V_$006AC480[4];
+ %load 45, V_$006AC480[5];
+ %load 46, V_$006AC480[6];
+ %load 47, V_$006AC480[7];
+ %load 48, V_$006AC480[8];
+ %ix/get 3, 40, 9;
+ %ix/mul 3, 8;
+ %jmp/1 t_863, 4;
+ %assign/m M_$005D1E00, 0, 32;
+ %ix/add 3, 1;
+ %assign/m M_$005D1E00, 0, 33;
+ %ix/add 3, 1;
+ %assign/m M_$005D1E00, 0, 34;
+ %ix/add 3, 1;
+ %assign/m M_$005D1E00, 0, 35;
+ %ix/add 3, 1;
+ %assign/m M_$005D1E00, 0, 36;
+ %ix/add 3, 1;
+ %assign/m M_$005D1E00, 0, 37;
+ %ix/add 3, 1;
+ %assign/m M_$005D1E00, 0, 38;
+ %ix/add 3, 1;
+ %assign/m M_$005D1E00, 0, 39;
+t_863 ;
+T_49.0 ;
+ %jmp T_49;
+ .thread T_49;
+ .scope S_006C2110;
+T_50 ;
+ %wait E_003DE478;
+ %load/v 32, V_$006C3600[0], 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C3D38[0], 0, 32;
+ %load 32, V_$006C46F0[0];
+ %load 33, V_$006C4360[0];
+ %or 32, 33, 1;
+ %jmp/0xz T_50.0, 32;
+ %assign V_$006C4260[0], 0, 0;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C2B10[0], 0, 0;
+ %jmp T_50.1;
+T_50.0 ;
+ %load 32, V_$006C42D0[0];
+ %jmp/0xz T_50.2, 32;
+ %load/v 32, V_$006C2B10[0], 10;
+ %addi 32, 1, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C2B10[0], 0, 32;
+T_50.2 ;
+ %load/v 32, V_$006C3D38[0], 9;
+ %load/v 41, V_$006C2B10[0], 9;
+ %cmp/u 32, 41, 9;
+ %mov 32, 4, 1;
+ %load/v 33, V_$006C3D38[9], 1;
+ %load/v 34, V_$006C2B10[9], 1;
+ %cmp/u 33, 34, 1;
+ %inv 4, 1;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_50.4, 32;
+ %assign V_$006C4260[0], 0, 1;
+ %jmp T_50.5;
+T_50.4 ;
+ %assign V_$006C4260[0], 0, 0;
+T_50.5 ;
+T_50.1 ;
+ %jmp T_50;
+ .thread T_50;
+ .scope S_006C2110;
+T_51 ;
+ %wait E_005EE048;
+ %load/v 32, V_$006C3170[0], 10;
+ %load/v 42, V_$006C3600[0], 10;
+ %sub 32, 42, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C2810[0], 0, 32;
+ %jmp T_51;
+ .thread T_51, $push;
+ .scope S_006C2110;
+T_52 ;
+ %wait E_003DE0F8;
+ %load/v 32, V_$006C2810[0], 10;
+ %mov 42, 0, 8;
+ %ix/load 0, 16;
+ %assign/v0 V_$006C4398[0], 0, 32;
+ %load/v 32, V_$006C2B10[0], 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C3170[0], 0, 32;
+ %load 32, V_$006C4698[0];
+ %load 33, V_$006C4308[0];
+ %or 32, 33, 1;
+ %jmp/0xz T_52.0, 32;
+ %assign V_$006C41F0[0], 0, 1;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C3600[0], 0, 0;
+ %assign V_$006C4228[0], 0, 0;
+ %jmp T_52.1;
+T_52.0 ;
+ %load 32, V_$006C4298[0];
+ %assign V_$006C4228[0], 0, 32;
+ %load/v 33, V_$006C4228[0], 1;
+ %cmpi/u 33, 0, 1;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %jmp/0xz T_52.2, 32;
+ %load 32, V_$006C3898[0];
+ %load 33, V_$006C3898[1];
+ %load 34, V_$006C3898[2];
+ %load 35, V_$006C3898[3];
+ %load 36, V_$006C3898[4];
+ %load 37, V_$006C3898[5];
+ %load 38, V_$006C3898[6];
+ %load 39, V_$006C3898[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006C4090[0], 0, 32;
+ %load/v 32, V_$006C3600[0], 10;
+ %addi 32, 1, 10;
+ %ix/load 0, 10;
+ %assign/v0 V_$006C3600[0], 0, 32;
+T_52.2 ;
+ %load/v 32, V_$006C3170[0], 10;
+ %load/v 42, V_$006C3600[0], 10;
+ %cmp/u 32, 42, 10;
+ %mov 32, 4, 1;
+ %jmp/0xz T_52.4, 32;
+ %assign V_$006C41F0[0], 0, 1;
+ %jmp T_52.5;
+T_52.4 ;
+ %assign V_$006C41F0[0], 0, 0;
+T_52.5 ;
+T_52.1 ;
+ %jmp T_52;
+ .thread T_52;
+ .scope S_006C2110;
+T_53 ;
+ %wait E_005EEF18;
+ %load/v 32, V_$006C2B10[0], 9;
+ %ix/load 0, 9;
+ %assign/v0 V_$006C3508[0], 0, 32;
+ %load/v 32, V_$006C3600[0], 9;
+ %ix/load 0, 9;
+ %assign/v0 V_$006C3740[0], 0, 32;
+ %jmp T_53;
+ .thread T_53, $push;
+ .scope S_006C1658;
+T_54 ;
+ %wait E_003DE0F8;
+ %load 32, V_$006685B0[0];
+ %load 33, V_$006A7BA8[0];
+ %and 32, 33, 1;
+ %load 33, V_$006A4EE8[0];
+ %load 34, V_$006A4EE8[1];
+ %load 35, V_$006A4EE8[2];
+ %cmpi/u 33, 4, 3;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %load 33, V_$006AA8A8[0];
+ %and 32, 33, 1;
+ %load 33, V_$0065A890[0];
+ %and 32, 33, 1;
+ %jmp/0xz T_54.0, 32;
+ %assign V_$006A7DD8[0], 0, 1;
+ %jmp T_54.1;
+T_54.0 ;
+ %assign V_$006A7DD8[0], 0, 0;
+T_54.1 ;
+ %jmp T_54;
+ .thread T_54;
+ .scope S_006C1658;
+T_55 ;
+ %wait E_003DE0F8;
+ %load 32, V_$0065D6E8[0];
+ %jmp/0xz T_55.0, 32;
+ %ix/load 0, 6;
+ %assign/v0 V_$00666B38[0], 0, 0;
+ %jmp T_55.1;
+T_55.0 ;
+ %load/v 32, V_$006A7DD8[0], 1;
+ %jmp/0xz T_55.2, 32;
+ %ix/load 0, 6;
+ %assign/v0 V_$00666B38[0], 0, 1;
+ %jmp T_55.3;
+T_55.2 ;
+ %load/v 32, V_$00666B38[1], 5;
+ %mov 37, 0, 1;
+ %ix/load 0, 6;
+ %assign/v0 V_$00666B38[0], 0, 32;
+T_55.3 ;
+T_55.1 ;
+ %jmp T_55;
+ .thread T_55;
+ .scope S_006C1658;
+T_56 ;
+ %wait E_003DE478;
+ %load 32, V_$006A8D90[0];
+ %assign V_$006A8850[0], 0, 32;
+ %load/v 32, V_$006A8850[0], 1;
+ %assign V_$006A9EA0[0], 0, 32;
+ %jmp T_56;
+ .thread T_56;
+ .scope S_006C1658;
+T_57 ;
+ %wait E_003DDC30;
+ %load 32, V_$006A4EE8[0];
+ %load 33, V_$006A4EE8[1];
+ %load 34, V_$006A4EE8[2];
+ %cmpi/u 32, 0, 3;
+ %jmp/1 T_57.0, 6;
+ %cmpi/u 32, 2, 3;
+ %jmp/1 T_57.1, 6;
+ %cmpi/u 32, 3, 3;
+ %jmp/1 T_57.2, 6;
+ %ix/load 0, 8;
+ %assign/v0 V_$006A5290[0], 0, 0;
+ %jmp T_57.4;
+T_57.0 ;
+ %load 32, V_$00660078[0];
+ %load 33, V_$00660078[1];
+ %load 34, V_$00660078[2];
+ %load 35, V_$00660078[3];
+ %load 36, V_$00660078[4];
+ %load 37, V_$00660078[5];
+ %load 38, V_$00660078[6];
+ %load 39, V_$00660078[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006A5290[0], 0, 32;
+ %jmp T_57.4;
+T_57.1 ;
+ %load 32, V_$00666678[8];
+ %load 33, V_$00666678[9];
+ %load 34, V_$00666678[10];
+ %load 35, V_$00666678[11];
+ %load 36, V_$00666678[12];
+ %load 37, V_$00666678[13];
+ %load 38, V_$00666678[14];
+ %load 39, V_$00666678[15];
+ %ix/load 0, 8;
+ %assign/v0 V_$006A5290[0], 0, 32;
+ %jmp T_57.4;
+T_57.2 ;
+ %load 32, V_$00666678[0];
+ %load 33, V_$00666678[1];
+ %load 34, V_$00666678[2];
+ %load 35, V_$00666678[3];
+ %load 36, V_$00666678[4];
+ %load 37, V_$00666678[5];
+ %load 38, V_$00666678[6];
+ %load 39, V_$00666678[7];
+ %ix/load 0, 8;
+ %assign/v0 V_$006A5290[0], 0, 32;
+ %jmp T_57.4;
+T_57.4 ;
+ %jmp T_57;
+ .thread T_57, $push;
+ .scope S_006C1658;
+T_58 ;
+ %wait E_003DDB00;
+ %load 32, V_$006A4EE8[0];
+ %load 33, V_$006A4EE8[1];
+ %load 34, V_$006A4EE8[2];
+ %cmpi/u 32, 0, 3;
+ %mov 32, 4, 1;
+ %load 33, V_$006685B0[0];
+ %cmpi/u 33, 0, 1;
+ %mov 33, 4, 1;
+ %and 32, 33, 1;
+ %load 33, V_$006AA8A8[0];
+ %and 32, 33, 1;
+ %load 33, V_$006A7BA8[0];
+ %and 32, 33, 1;
+ %jmp/0xz T_58.0, 32;
+ %assign V_$006A76F0[0], 0, 1;
+ %jmp T_58.1;
+T_58.0 ;
+ %assign V_$006A76F0[0], 0, 0;
+T_58.1 ;
+ %jmp T_58;
+ .thread T_58, $push;
+ .scope S_00624D88;
+T_59 ;
+ %set/v V_$0068AE60[0], 2, 8;
+ %set/v V_$0069AA18[0], 2, 8;
+ %set V_$0069A858[0], 0;
+ %set V_$006607B0[0], 2;
+ %set V_$006A5C98[0], 2;
+ %set V_$006A4238[0], 2;
+ %delay 1000;
+ %end;
+ .thread T_59;
+ .scope S_00633880;
+T_60 ;
+ %set/v V_$006B08C8[0], 0, 2;
+ %end;
+ .thread T_60;
+ .scope S_00633880;
+T_61 ;
+ %load/v 32, V_$006B08C8[0], 2;
+ %cmpi/u 32, 0, 2;
+ %jmp/1 T_61.0, 6;
+ %cmpi/u 32, 1, 2;
+ %jmp/1 T_61.1, 6;
+ %cmpi/u 32, 2, 2;
+ %jmp/1 T_61.2, 6;
+ %jmp T_61.3;
+T_61.0 ;
+ %set/v V_$006B01E8[0], 1, 8;
+ %fork TD_testHarness.u_sdModel.txRxByte, S_006399E8;
+ %join;
+ %load/v 32, V_$006B00C0[0], 8;
+ %set/v V_$006B0718[0], 32, 8;
+ %load/v 32, V_$006B0718[0], 8;
+ %cmpi/u 32, 255, 8;
+ %jmp/0xz T_61.4, 4;
+ %assign V_$006B08C8[0], 0, 1;
+ %assign V_$006B08C8[1], 0, 0;
+ %ix/load 0, 8;
+ %assign/v0 V_$006B0438[0], 0, 0;
+T_61.4 ;
+ %jmp T_61.3;
+T_61.1 ;
+ %set/v V_$006B01E8[0], 1, 8;
+ %fork TD_testHarness.u_sdModel.txRxByte, S_006399E8;
+ %join;
+ %load/v 32, V_$006B00C0[0], 8;
+ %set/v V_$006B0718[0], 32, 8;
+ %load/v 32, V_$006B0718[0], 8;
+ %cmpi/u 32, 255, 8;
+ %jmp/0xz T_61.6, 4;
+ %load/v 32, V_$006B0438[0], 8;
+ %addi 32, 1, 8;
+ %ix/load 0, 8;
+ %assign/v0 V_$006B0438[0], 0, 32;
+ %load/v 32, V_$006B0438[0], 8;
+ %cmpi/u 32, 10, 8;
+ %jmp/0xz T_61.8, 4;
+ %load/v 32, V_$006B0578[0], 8;
+ %set/v V_$006B01E8[0], 32, 8;
+ %fork TD_testHarness.u_sdModel.txRxByte, S_006399E8;
+ %join;
+ %load/v 32, V_$006B00C0[0], 8;
+ %set/v V_$006B0718[0], 32, 8;
+ %assign V_$006B08C8[0], 0, 0;
+ %assign V_$006B08C8[1], 0, 1;
+T_61.8 ;
+ %jmp T_61.7;
+T_61.6 ;
+ %assign V_$006B08C8[0], 0, 0;
+ %assign V_$006B08C8[1], 0, 0;
+T_61.7 ;
+ %jmp T_61.3;
+T_61.2 ;
+ %set/v V_$006B01E8[0], 1, 8;
+ %fork TD_testHarness.u_sdModel.txRxByte, S_006399E8;
+ %join;
+ %load/v 32, V_$006B00C0[0], 8;
+ %set/v V_$006B0718[0], 32, 8;
+ %load/v 32, V_$006B0718[0], 8;
+ %cmpi/u 32, 255, 8;
+ %inv 4, 1;
+ %jmp/0xz T_61.10, 4;
+ %assign V_$006B08C8[0], 0, 0;
+ %assign V_$006B08C8[1], 0, 0;
+T_61.10 ;
+ %jmp T_61.3;
+T_61.3 ;
+ %jmp T_61;
+ .thread T_61;
+ .scope S_006338F0;
+T_62 ;
+ %vpi_call "$dumpfile", "wave.vcd";
+ %vpi_call "$dumpvars", 1'sb0, S_006C1500;
+ %end;
+ .thread T_62;
+ .scope S_006338F0;
+T_63 ;
+ %wait E_003DE0F8;
+ %wait E_003DE0F8;
+ %wait E_003DE0F8;
+ %wait E_003DE0F8;
+ %wait E_003DE0F8;
+ %wait E_003DE0F8;
+ %wait E_003DE0F8;
+ %wait E_003DE0F8;
+ %assign V_$006E0BD0[0], 0, 1;
+ %wait E_003DE0F8;
+ %assign V_$006E0BD0[0], 0, 0;
+ %wait E_003DE0F8;
+ %end;
+ .thread T_63;
+ .scope S_006338F0;
+T_64 ;
+ %delay 20000;
+ %assign V_$006DF4B8[0], 0, 0;
+ %delay 20000;
+ %assign V_$006DF4B8[0], 0, 1;
+ %jmp T_64;
+ .thread T_64;
+ .scope S_006338F0;
+T_65 ;
+ %delay 10000;
+ %assign V_$006E3508[0], 0, 0;
+ %delay 10000;
+ %assign V_$006E3508[0], 0, 1;
+ %jmp T_65;
+ .thread T_65;
diff --git a/usrp2/fpga/opencores/sd_interface/sim/wave.do b/usrp2/fpga/opencores/sd_interface/sim/wave.do
new file mode 100644
index 000000000..0cc94b311
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/sim/wave.do
@@ -0,0 +1,133 @@
+onerror {resume}
+quietly WaveActivateNextPane {} 0
+add wave -noupdate -divider ctrlStsRegBI
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/dataIn
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/address
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/writeEn
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/strobe_i
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/busClk
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiSysClk
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/dataOut
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/ctrlStsRegSel
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransType
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrl
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransStatus
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiDirectAccessTxData
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiDirectAccessRxData
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstFromWire
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstSyncToBusClkOut
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstSyncToSpiClkOut
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDWriteError
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDReadError
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDInitError
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDAddr
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiClkDelay
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/clk
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstShift
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstFromBus
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiDirectAccessTxDataSTB
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiDirectAccessRxDataSTB
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransTypeSTB
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrlSTB
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransStatusSTB
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/rstSyncToSpiClkFirst
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrlShift
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransStatusReg1
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransStatusReg2
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDWriteErrorSTB
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDReadErrorSTB
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/SDInitErrorSTB
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrl_reg1
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrl_reg2
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_ctrlStsRegBI/spiTransCtrl_reg3
+add wave -noupdate -divider spiTxRxData
+add wave -noupdate -divider readWriteSPIWireData
+add wave -noupdate -divider spiCtrl
+add wave -noupdate -divider initSD
+add wave -noupdate -divider sendCmd
+add wave -noupdate -divider sdModel
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_sdModel/spiClk
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_sdModel/spiDataIn
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_sdModel/spiDataOut
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_sdModel/spiCS_n
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_sdModel/rxByte
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_sdModel/respByte
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_sdModel/smSt
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_sdModel/cnt
+add wave -noupdate -divider txFifo
+add wave -noupdate -divider txFifoBI
+add wave -noupdate -divider readWriteSDBlock
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/blockAddr
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/clk
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/readWriteSDBlockReq
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/respByte
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/respTout
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rst
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rxDataIn
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rxDataRdy
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/sendCmdRdy
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txDataEmpty
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txDataFull
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txFifoData
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/checkSumByte
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/cmdByte
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/dataByte1
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/dataByte2
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/dataByte3
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/dataByte4
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/readError
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/readWriteSDBlockRdy
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rxDataRdyClr
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rxFifoData
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/rxFifoWen
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/sendCmdReq
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/spiCS_n
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txDataOut
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txDataWen
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/txFifoRen
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/writeError
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_checkSumByte
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_cmdByte
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_dataByte1
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_dataByte2
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_dataByte3
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_dataByte4
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_readError
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_readWriteSDBlockRdy
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_rxDataRdyClr
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_rxFifoData
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_rxFifoWen
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_sendCmdReq
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_spiCS_n
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_txDataOut
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_txDataWen
+add wave -noupdate -format Logic -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_txFifoRen
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_writeError
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/delCnt1
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_delCnt1
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/delCnt2
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_delCnt2
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/locRespByte
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_locRespByte
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/loopCnt
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_loopCnt
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/timeOutCnt
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/next_timeOutCnt
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/CurrState_rwBlkSt
+add wave -noupdate -format Literal -radix hexadecimal /testHarness/u_spiMaster/u_readWriteSDBlock/NextState_rwBlkSt
+TreeUpdate [SetDefaultTree]
+WaveRestoreCursors {{Cursor 1} {717201000 ps} 0} {{Cursor 2} {102093853 ps} 0}
+configure wave -namecolwidth 456
+configure wave -valuecolwidth 73
+configure wave -justifyvalue left
+configure wave -signalnamewidth 0
+configure wave -snapdistance 10
+configure wave -datasetprefix 0
+configure wave -rowmargin 4
+configure wave -childrowmargin 2
+configure wave -gridoffset 0
+configure wave -gridperiod 1
+configure wave -griddelta 40
+configure wave -timeline 0
+update
+WaveRestoreZoom {0 ps} {115986988 ps}
diff --git a/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qpf b/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qpf
new file mode 100644
index 000000000..f60b6c834
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qpf
@@ -0,0 +1,23 @@
+# Copyright (C) 1991-2007 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions
+# and other software and tools, and its AMPP partner logic
+# functions, and any output files from any of the foregoing
+# (including device programming or simulation files), and any
+# associated documentation or information are expressly subject
+# to the terms and conditions of the Altera Program License
+# Subscription Agreement, Altera MegaCore Function License
+# Agreement, or other applicable license agreement, including,
+# without limitation, that your use is for the sole purpose of
+# programming logic devices manufactured by Altera and sold by
+# Altera or its authorized distributors. Please refer to the
+# applicable agreement for further details.
+
+
+
+QUARTUS_VERSION = "7.2"
+DATE = "14:03:18 February 21, 2008"
+
+
+# Revisions
+
+PROJECT_REVISION = "spiMaster"
diff --git a/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qsf b/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qsf
new file mode 100644
index 000000000..3a5f88801
--- /dev/null
+++ b/usrp2/fpga/opencores/sd_interface/syn/spiMaster.qsf
@@ -0,0 +1,57 @@
+# Copyright (C) 1991-2007 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions
+# and other software and tools, and its AMPP partner logic
+# functions, and any output files from any of the foregoing
+# (including device programming or simulation files), and any
+# associated documentation or information are expressly subject
+# to the terms and conditions of the Altera Program License
+# Subscription Agreement, Altera MegaCore Function License
+# Agreement, or other applicable license agreement, including,
+# without limitation, that your use is for the sole purpose of
+# programming logic devices manufactured by Altera and sold by
+# Altera or its authorized distributors. Please refer to the
+# applicable agreement for further details.
+
+
+# The default values for assignments are stored in the file
+# spiMaster_assignment_defaults.qdf
+# If this file doesn't exist, and for assignments not listed, see file
+# assignment_defaults.qdf
+
+# Altera recommends that you do not modify this file. This
+# file is updated automatically by the Quartus II software
+# and any changes you make may be lost or overwritten.
+
+
+set_global_assignment -name FAMILY "Cyclone II"
+set_global_assignment -name DEVICE EP2C20Q240C8
+set_global_assignment -name TOP_LEVEL_ENTITY spiMaster
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 7.2
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:03:18 FEBRUARY 21, 2008"
+set_global_assignment -name LAST_QUARTUS_VERSION 7.2
+set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
+set_global_assignment -name DEVICE_FILTER_PACKAGE PQFP
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
+set_global_assignment -name VERILOG_FILE ../rtl/wishBoneBus_h.v
+set_global_assignment -name VERILOG_FILE ../rtl/ctrlStsRegBI.v
+set_global_assignment -name VERILOG_FILE ../rtl/dpMem_dc.v
+set_global_assignment -name VERILOG_FILE ../rtl/fifoRTL.v
+set_global_assignment -name VERILOG_FILE ../rtl/initSD.v
+set_global_assignment -name VERILOG_FILE ../rtl/readWriteSDBlock.v
+set_global_assignment -name VERILOG_FILE ../rtl/readWriteSPIWireData.v
+set_global_assignment -name VERILOG_FILE ../rtl/RxFifo.v
+set_global_assignment -name VERILOG_FILE ../rtl/RxFifoBI.v
+set_global_assignment -name VERILOG_FILE ../rtl/sendCmd.v
+set_global_assignment -name VERILOG_FILE ../rtl/spiCtrl.v
+set_global_assignment -name VERILOG_FILE ../rtl/spiMaster.v
+set_global_assignment -name VERILOG_FILE ../rtl/spiMaster_h.v
+set_global_assignment -name VERILOG_FILE ../rtl/spiTxRxData.v
+set_global_assignment -name VERILOG_FILE ../rtl/timescale.v
+set_global_assignment -name VERILOG_FILE ../rtl/TxFifo.v
+set_global_assignment -name VERILOG_FILE ../rtl/TxFifoBI.v
+set_global_assignment -name VERILOG_FILE ../rtl/wishBoneBI.v
+set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top
+set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
+set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" \ No newline at end of file