summaryrefslogtreecommitdiff
path: root/testsuite/gna/bug18353/TESTCASE.vhdl
blob: d4a333e5f42cc6772497330ae9987c7084c2f60d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;


entity TESTCASE is
end TESTCASE;


architecture DUMMY of TESTCASE is
begin

  dummy: process
    constant str : string := "8#5382#";
    variable xv  : integer;
  begin
    xv := integer'value(str);
    report "xv := " & integer'image(xv) severity NOTE;
    wait;
  end process;

end DUMMY;