diff options
Diffstat (limited to 'testsuite/gna/ticket38/associate.vhdl')
-rw-r--r-- | testsuite/gna/ticket38/associate.vhdl | 32 |
1 files changed, 32 insertions, 0 deletions
diff --git a/testsuite/gna/ticket38/associate.vhdl b/testsuite/gna/ticket38/associate.vhdl new file mode 100644 index 0000000..1d302ca --- /dev/null +++ b/testsuite/gna/ticket38/associate.vhdl @@ -0,0 +1,32 @@ + +entity test_ent is + port ( + input: integer + ); +end entity; + +architecture test of test_ent is + +begin + +end architecture; + +entity associate is +end entity; + +architecture test of associate is + component test_ent is + port ( + input: integer + ); + end component; +begin +gen_label: + for i in 0 to 11 generate + genx: + test_ent + port map ( + input => i + ); + end generate; +end architecture; |