diff options
Diffstat (limited to 'testsuite/gna/ticket11/signalevents.vhdl')
-rw-r--r-- | testsuite/gna/ticket11/signalevents.vhdl | 20 |
1 files changed, 20 insertions, 0 deletions
diff --git a/testsuite/gna/ticket11/signalevents.vhdl b/testsuite/gna/ticket11/signalevents.vhdl new file mode 100644 index 0000000..98161ae --- /dev/null +++ b/testsuite/gna/ticket11/signalevents.vhdl @@ -0,0 +1,20 @@ +entity tb is +end entity; + +architecture arch of tb is + signal s: integer := 0; +begin + process is + begin + wait for 1 us; + s <= 1; + s <= 2 after 1 us; + assert s = 0; + wait on s; + report "s = " & integer'image(s); + assert s = 2 severity failure; + assert now = 2 us severity failure; + wait; + end process; + +end architecture; |