diff options
Diffstat (limited to 'testsuite/gna/bug15368')
-rw-r--r-- | testsuite/gna/bug15368/15368.vhd | 35 | ||||
-rwxr-xr-x | testsuite/gna/bug15368/testsuite.sh | 10 |
2 files changed, 0 insertions, 45 deletions
diff --git a/testsuite/gna/bug15368/15368.vhd b/testsuite/gna/bug15368/15368.vhd deleted file mode 100644 index 0c8a508..0000000 --- a/testsuite/gna/bug15368/15368.vhd +++ /dev/null @@ -1,35 +0,0 @@ -entity bug is -end entity; - -architecture a of bug is - component cmp is - port(o :out bit_vector); - end component; - -signal o:bit_vector(4 downto 0); - -begin - i_exp: cmp port map(o); - - process(o) - begin - report "o event" severity note; - end process; - -end architecture; - -entity cmp is - port(o :out bit_vector); -end entity; - -architecture a of cmp is - signal big_o:bit_vector(255 downto 0); - signal a:bit_vector(4 downto 0); -begin - - o <= big_o(a'range); - - big_o <= (others => '1') after 5 ns, (others => '0') after 10 ns; - a <= (others => '1') after 20 ns, (others => '0') after 30 ns; - -end architecture; diff --git a/testsuite/gna/bug15368/testsuite.sh b/testsuite/gna/bug15368/testsuite.sh deleted file mode 100755 index 9de7543..0000000 --- a/testsuite/gna/bug15368/testsuite.sh +++ /dev/null @@ -1,10 +0,0 @@ -#! /bin/sh - -. ../../testenv.sh - -analyze 15368.vhd -elab_simulate bug - -clean - -echo "Test successful" |