diff options
-rw-r--r-- | testsuite/gna/issue14/repro1.vhdl | 6 | ||||
-rwxr-xr-x | testsuite/gna/issue14/testsuite.sh | 3 |
2 files changed, 6 insertions, 3 deletions
diff --git a/testsuite/gna/issue14/repro1.vhdl b/testsuite/gna/issue14/repro1.vhdl index 468b651..6d37c16 100644 --- a/testsuite/gna/issue14/repro1.vhdl +++ b/testsuite/gna/issue14/repro1.vhdl @@ -1,10 +1,10 @@ library ieee; use ieee.std_logic_1164.all; -entity repro is -end repro; +entity repro1 is +end repro1; -architecture behav of repro is +architecture behav of repro1 is begin assert std_ulogic_vector'("LL") ?= "00"; end behav; diff --git a/testsuite/gna/issue14/testsuite.sh b/testsuite/gna/issue14/testsuite.sh index 7b44135..4b1ee25 100755 --- a/testsuite/gna/issue14/testsuite.sh +++ b/testsuite/gna/issue14/testsuite.sh @@ -12,6 +12,9 @@ else echo "Expected number of warnings" fi +analyze repro1.vhdl +elab_simulate repro1 + clean rm log.txt |