diff options
-rw-r--r-- | testsuite/gna/ticket11/tb2.vhdl | 22 | ||||
-rw-r--r-- | testsuite/gna/ticket11/tb3.vhdl | 24 | ||||
-rwxr-xr-x | testsuite/gna/ticket11/testsuite.sh | 6 |
3 files changed, 52 insertions, 0 deletions
diff --git a/testsuite/gna/ticket11/tb2.vhdl b/testsuite/gna/ticket11/tb2.vhdl new file mode 100644 index 0000000..4ba80e1 --- /dev/null +++ b/testsuite/gna/ticket11/tb2.vhdl @@ -0,0 +1,22 @@ +entity tb2 is +end entity; + +architecture arch of tb2 is + signal s: integer := 0; + signal s2: integer := 0; +begin + process is + begin + wait for 1 us; + s2 <= 3; + s <= 1; + s <= 2 after 1 us; + assert s = 0; + wait on s; + report "s = " & integer'image(s); + assert s = 2 severity failure; + assert now = 2 us severity failure; + wait; + end process; + +end architecture; diff --git a/testsuite/gna/ticket11/tb3.vhdl b/testsuite/gna/ticket11/tb3.vhdl new file mode 100644 index 0000000..690c0ef --- /dev/null +++ b/testsuite/gna/ticket11/tb3.vhdl @@ -0,0 +1,24 @@ +entity tb3 is +end entity; + +architecture arch of tb3 is + signal s: integer := 0; +begin + process is + begin + wait for 1 us; + s <= 1; + s <= reject 1 ns inertial 2 after 2 us; + assert s = 0; + wait on s; + report "s = " & integer'image(s); + assert s = 1 severity failure; + assert now = 1 us severity failure; + wait on s; + report "s = " & integer'image(s); + assert s = 2 severity failure; + assert now = 3 us severity failure; + wait; + end process; + +end architecture; diff --git a/testsuite/gna/ticket11/testsuite.sh b/testsuite/gna/ticket11/testsuite.sh index 7226710..0a5f3ab 100755 --- a/testsuite/gna/ticket11/testsuite.sh +++ b/testsuite/gna/ticket11/testsuite.sh @@ -5,6 +5,12 @@ analyze signalevents.vhdl elab_simulate tb +analyze tb2.vhdl +elab_simulate tb2 + +analyze tb3.vhdl +elab_simulate tb3 + clean echo "Test successful" |