diff options
author | Tristan Gingold | 2015-01-19 06:47:08 +0100 |
---|---|---|
committer | Tristan Gingold | 2015-01-19 06:47:08 +0100 |
commit | 989d20ec727fff609283979244865d6058f427bc (patch) | |
tree | 5e4a8fac8799c4ee5f7a26dfd8afa852f06b2c4b /testsuite/gna/ticket30/lib_numeric_tb.vhd | |
parent | 2f28dbc09fd009e5003f59c9f3aa511a834f1518 (diff) | |
download | ghdl-989d20ec727fff609283979244865d6058f427bc.tar.gz ghdl-989d20ec727fff609283979244865d6058f427bc.tar.bz2 ghdl-989d20ec727fff609283979244865d6058f427bc.zip |
Add testcase for ticket30.
Diffstat (limited to 'testsuite/gna/ticket30/lib_numeric_tb.vhd')
-rw-r--r-- | testsuite/gna/ticket30/lib_numeric_tb.vhd | 30 |
1 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/gna/ticket30/lib_numeric_tb.vhd b/testsuite/gna/ticket30/lib_numeric_tb.vhd new file mode 100644 index 0000000..82eb3a0 --- /dev/null +++ b/testsuite/gna/ticket30/lib_numeric_tb.vhd @@ -0,0 +1,30 @@ +use std.textio.all; + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use ieee.vital_primitives.all; +use ieee.vital_timing.all; + +entity numeric_tb is + generic ( + tperiod_CLK_posedge : VitalDelayType := 0.000 ns); + port ( + CLK : in std_ulogic); + attribute VITAL_LEVEL0 of numeric_tb : entity is true; +end numeric_tb; + +architecture test of numeric_tb is + +begin + + process + variable l : line; + begin + write(l, string'("tperiod_CLK_posedge = ")); + write(l, tperiod_CLK_posedge); + writeline(output, l); + wait; + end process; + +end; |