summaryrefslogtreecommitdiff
path: root/nghdl/Example/bin_to_gray/bin_to_gray.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'nghdl/Example/bin_to_gray/bin_to_gray.vhdl')
-rw-r--r--nghdl/Example/bin_to_gray/bin_to_gray.vhdl21
1 files changed, 0 insertions, 21 deletions
diff --git a/nghdl/Example/bin_to_gray/bin_to_gray.vhdl b/nghdl/Example/bin_to_gray/bin_to_gray.vhdl
deleted file mode 100644
index 542f7ec9..00000000
--- a/nghdl/Example/bin_to_gray/bin_to_gray.vhdl
+++ /dev/null
@@ -1,21 +0,0 @@
-LIBRARY ieee;
-USE ieee.std_logic_1164.ALL;
-
-entity bin_to_gray is
-port(
- bin : in std_logic_vector(3 downto 0); -- binary input
- G : out std_logic_vector(3 downto 0) -- gray code output
- );
-end bin_to_gray;
-
-
-architecture gate_level of bin_to_gray is
-
-begin
-
-G(3) <= bin(3);
-G(2) <= bin(3) xor bin(2);
-G(1) <= bin(2) xor bin(1);
-G(0) <= bin(1) xor bin(0);
-
-end gate_level; \ No newline at end of file