diff options
Diffstat (limited to 'VHDL/and_nghdl.vhdl')
-rw-r--r-- | VHDL/and_nghdl.vhdl | 15 |
1 files changed, 15 insertions, 0 deletions
diff --git a/VHDL/and_nghdl.vhdl b/VHDL/and_nghdl.vhdl new file mode 100644 index 0000000..3d48201 --- /dev/null +++ b/VHDL/and_nghdl.vhdl @@ -0,0 +1,15 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity and_nghdl is + port (e : in std_logic_vector(0 downto 0); + f : in std_logic_vector(0 downto 0); + g : out std_logic_vector(0 downto 0)); + end and_nghdl; + + architecture rtl of and_nghdl is + begin + + g <= e and f; + + end rtl; |