From eb95026ab9007631eb8e2a1c54dcd38fabcb60ad Mon Sep 17 00:00:00 2001 From: saurabhb17 Date: Wed, 18 Dec 2019 15:13:23 +0530 Subject: Examples Restructered --- Example/logic_gates/nor_gate.vhdl | 13 +++++++++++++ 1 file changed, 13 insertions(+) create mode 100644 Example/logic_gates/nor_gate.vhdl (limited to 'Example/logic_gates/nor_gate.vhdl') diff --git a/Example/logic_gates/nor_gate.vhdl b/Example/logic_gates/nor_gate.vhdl new file mode 100644 index 0000000..0dcdab0 --- /dev/null +++ b/Example/logic_gates/nor_gate.vhdl @@ -0,0 +1,13 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity nor_gate is + port (a : in std_logic; + b : in std_logic; + c : out std_logic); +end nor_gate; + +architecture rtl of nor_gate is + begin + c <= a nor b; +end rtl; -- cgit