summaryrefslogtreecommitdiff
path: root/Example/xor/myxor.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'Example/xor/myxor.vhdl')
-rw-r--r--Example/xor/myxor.vhdl15
1 files changed, 0 insertions, 15 deletions
diff --git a/Example/xor/myxor.vhdl b/Example/xor/myxor.vhdl
deleted file mode 100644
index b49f3ca..0000000
--- a/Example/xor/myxor.vhdl
+++ /dev/null
@@ -1,15 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity myxor is
- port (a : in std_logic_vector(0 downto 0);
- b : in std_logic_vector(0 downto 0);
- c : out std_logic_vector(0 downto 0));
- end myxor;
-
- architecture rtl of myxor is
- begin
-
- c <= a xor b;
-
- end rtl;