summaryrefslogtreecommitdiff
path: root/Example/trial_demux/t_demux.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'Example/trial_demux/t_demux.vhdl')
-rw-r--r--Example/trial_demux/t_demux.vhdl32
1 files changed, 0 insertions, 32 deletions
diff --git a/Example/trial_demux/t_demux.vhdl b/Example/trial_demux/t_demux.vhdl
deleted file mode 100644
index 1e1f0bd..0000000
--- a/Example/trial_demux/t_demux.vhdl
+++ /dev/null
@@ -1,32 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.all;
-
-entity t_demux is
- port(
-
- F : in STD_LOGIC_vector(0 downto 0);
- S0: in STD_LOGIC_vector(0 downto 0);
- S1: in STD_LOGIC_vector(0 downto 0);
- A: out STD_LOGIC_vector(0 downto 0);
- B: out STD_LOGIC_vector(0 downto 0);
- C: out STD_LOGIC_vector(0 downto 0);
- D: out STD_LOGIC_vector(0 downto 0)
- );
-end t_demux;
-
-architecture bhv of t_demux is
-begin
-process (F,S0,S1) is
-begin
- if (S0 ="0" and S1 = "0") then
- A <= F;
- elsif (S0 ="1" and S1 = "0") then
- B <= F;
- elsif (S0 ="0" and S1 = "1") then
- C <= F;
- else
- D <= F;
- end if;
-
-end process;
-end bhv; \ No newline at end of file