diff options
Diffstat (limited to 'Example/2-bit-inverter/inverter.vhdl')
-rw-r--r-- | Example/2-bit-inverter/inverter.vhdl | 14 |
1 files changed, 0 insertions, 14 deletions
diff --git a/Example/2-bit-inverter/inverter.vhdl b/Example/2-bit-inverter/inverter.vhdl deleted file mode 100644 index 7eb3c67..0000000 --- a/Example/2-bit-inverter/inverter.vhdl +++ /dev/null @@ -1,14 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; - -entity inverter is - port ( i: in std_logic_vector(0 downto 0); - o: out std_logic_vector(0 downto 0)); -end inverter; - -architecture inverter_beh of inverter is -begin - o <= not i; -end inverter_beh; - - |