summaryrefslogtreecommitdiff
path: root/src/ghdlserver/Vhpi_Package.vhdl
diff options
context:
space:
mode:
authorRahul P2019-11-19 12:32:39 +0530
committerGitHub2019-11-19 12:32:39 +0530
commita6c0b36fcaba6c1f2d366432b2386122674b4782 (patch)
tree18a02155e45110fd6419139e48f6d9b277ab9870 /src/ghdlserver/Vhpi_Package.vhdl
parent2fe70dd26008b0f4920928d592290614bf47ce5d (diff)
parent6e12269c0681dcfb0d1ec927670fb9d69464af9c (diff)
downloadnghdl-a6c0b36fcaba6c1f2d366432b2386122674b4782.tar.gz
nghdl-a6c0b36fcaba6c1f2d366432b2386122674b4782.tar.bz2
nghdl-a6c0b36fcaba6c1f2d366432b2386122674b4782.zip
Merge pull request #29 from rahulp13/master
Updated nghdl
Diffstat (limited to 'src/ghdlserver/Vhpi_Package.vhdl')
-rwxr-xr-xsrc/ghdlserver/Vhpi_Package.vhdl16
1 files changed, 6 insertions, 10 deletions
diff --git a/src/ghdlserver/Vhpi_Package.vhdl b/src/ghdlserver/Vhpi_Package.vhdl
index 0c0baac..600804c 100755
--- a/src/ghdlserver/Vhpi_Package.vhdl
+++ b/src/ghdlserver/Vhpi_Package.vhdl
@@ -1,4 +1,8 @@
-- author: Madhav P. Desai
+-- modified: Rahul Paknikar
+-- -changed procedure of Vhpi_Initialize - 26.Sept.2019
+-- -removed procedure Vhpi_Close - 15.Oct.2019
+
library ieee;
use ieee.std_logic_1164.all;
library work;
@@ -8,12 +12,9 @@ package Vhpi_Foreign is
-----------------------------------------------------------------------------
-- foreign Vhpi function
-----------------------------------------------------------------------------
- procedure Vhpi_Initialize(sock_port : in integer);
+ procedure Vhpi_Initialize(sock_port : in integer; sock_ip : in VhpiString);
attribute foreign of Vhpi_Initialize : procedure is "VHPIDIRECT Vhpi_Initialize";
- procedure Vhpi_Close; -- close .
- attribute foreign of Vhpi_Close : procedure is "VHPIDIRECT Vhpi_Close";
-
procedure Vhpi_Listen;
attribute foreign of Vhpi_Listen : procedure is "VHPIDIRECT Vhpi_Listen";
@@ -36,16 +37,11 @@ package body Vhpi_Foreign is
-----------------------------------------------------------------------------
-- subprogram bodies for foreign vhpi routines. will never be called
-----------------------------------------------------------------------------
- procedure Vhpi_Initialize(sock_port: in integer) is
+ procedure Vhpi_Initialize(sock_port: in integer; sock_ip : in VhpiString) is
begin
assert false report "fatal: this should never be called" severity failure;
end Vhpi_Initialize;
- procedure Vhpi_Close is
- begin
- assert false report "fatal: this should never be called" severity failure;
- end Vhpi_Close;
-
procedure Vhpi_Listen is
begin
assert false report "fatal: this should never be called" severity failure;