summaryrefslogtreecommitdiff
path: root/src/ghdlserver/Vhpi_Package.vhdl
diff options
context:
space:
mode:
authorfahim2015-02-05 17:23:51 +0530
committerfahim2015-02-05 17:23:51 +0530
commit87016b9bd60a03eaaf1bd7f0816e27dbe96ef6ed (patch)
treeeb6b6519729880f1a6bbbeccbdd486dd6afc1d6e /src/ghdlserver/Vhpi_Package.vhdl
downloadnghdl-87016b9bd60a03eaaf1bd7f0816e27dbe96ef6ed.tar.gz
nghdl-87016b9bd60a03eaaf1bd7f0816e27dbe96ef6ed.tar.bz2
nghdl-87016b9bd60a03eaaf1bd7f0816e27dbe96ef6ed.zip
Subject: Adding all the source code of nghdl
Description: Adding all the source code of nghdl
Diffstat (limited to 'src/ghdlserver/Vhpi_Package.vhdl')
-rwxr-xr-xsrc/ghdlserver/Vhpi_Package.vhdl77
1 files changed, 77 insertions, 0 deletions
diff --git a/src/ghdlserver/Vhpi_Package.vhdl b/src/ghdlserver/Vhpi_Package.vhdl
new file mode 100755
index 0000000..febc00b
--- /dev/null
+++ b/src/ghdlserver/Vhpi_Package.vhdl
@@ -0,0 +1,77 @@
+-- author: Madhav P. Desai
+library ieee;
+use ieee.std_logic_1164.all;
+library work;
+use work.Utility_Package.all;
+package Vhpi_Foreign is
+
+ -----------------------------------------------------------------------------
+ -- foreign Vhpi function
+ -----------------------------------------------------------------------------
+ procedure Vhpi_Initialize;
+ attribute foreign of Vhpi_Initialize : procedure is "VHPIDIRECT Vhpi_Initialize";
+
+ procedure Vhpi_Close; -- close .
+ attribute foreign of Vhpi_Close : procedure is "VHPIDIRECT Vhpi_Close";
+
+ procedure Vhpi_Listen;
+ attribute foreign of Vhpi_Listen : procedure is "VHPIDIRECT Vhpi_Listen";
+
+ procedure Vhpi_Send;
+ attribute foreign of Vhpi_Send : procedure is "VHPIDIRECT Vhpi_Send";
+
+ procedure Vhpi_Set_Port_Value(port_name: in VhpiString; port_value: in VhpiString; port_width: in integer);
+ attribute foreign of Vhpi_Set_Port_Value: procedure is "VHPIDIRECT Vhpi_Set_Port_Value";
+
+ procedure Vhpi_Get_Port_Value(port_name: in VhpiString; port_value : out VhpiString; port_width: in integer);
+ attribute foreign of Vhpi_Get_Port_Value : procedure is "VHPIDIRECT Vhpi_Get_Port_Value";
+
+ procedure Vhpi_Log(message_string: in VhpiString);
+ attribute foreign of Vhpi_Log : procedure is "VHPIDIRECT Vhpi_Log";
+
+end Vhpi_Foreign;
+
+package body Vhpi_Foreign is
+
+ -----------------------------------------------------------------------------
+ -- subprogram bodies for foreign vhpi routines. will never be called
+ -----------------------------------------------------------------------------
+ procedure Vhpi_Initialize is
+ begin
+ assert false report "fatal: this should never be called" severity failure;
+ end Vhpi_Initialize;
+
+ procedure Vhpi_Close is
+ begin
+ assert false report "fatal: this should never be called" severity failure;
+ end Vhpi_Close;
+
+ procedure Vhpi_Listen is
+ begin
+ assert false report "fatal: this should never be called" severity failure;
+ end Vhpi_Listen;
+
+ procedure Vhpi_Send is
+ begin
+ assert false report "fatal: this should never be called" severity failure;
+ end Vhpi_Send;
+
+ procedure Vhpi_Set_Port_Value(port_name: in VhpiString; port_value: in VhpiString; port_width: in integer) is
+ begin
+ assert false report "fatal: this should never be called" severity failure;
+ end Vhpi_Set_Port_Value;
+
+ procedure Vhpi_Get_Port_Value(port_name : in VhpiString; port_value: out VhpiString; port_width: in integer)is
+ begin
+ assert false report "fatal: this should never be called" severity failure;
+ end Vhpi_Get_Port_Value;
+
+ procedure Vhpi_Log(message_string: in VhpiString) is
+ begin
+ assert false report "fatal: this should never be called" severity failure;
+ end Vhpi_Log;
+
+end Vhpi_Foreign;
+
+
+