diff options
author | Rahul Paknikar | 2019-06-25 09:47:45 +0530 |
---|---|---|
committer | GitHub | 2019-06-25 09:47:45 +0530 |
commit | 3bc50a214b4dbac28cbe980f631ec9ac4c6735fc (patch) | |
tree | 3eb6aba180a29633e3aefb2ee470bc54afe528c1 /Example | |
parent | f166e8d1bc872bbf7425da95defecae920b2e39e (diff) | |
download | nghdl-3bc50a214b4dbac28cbe980f631ec9ac4c6735fc.tar.gz nghdl-3bc50a214b4dbac28cbe980f631ec9ac4c6735fc.tar.bz2 nghdl-3bc50a214b4dbac28cbe980f631ec9ac4c6735fc.zip |
Add files via upload
Diffstat (limited to 'Example')
-rw-r--r-- | Example/full_adder/trial_ha.vhdl | 17 |
1 files changed, 17 insertions, 0 deletions
diff --git a/Example/full_adder/trial_ha.vhdl b/Example/full_adder/trial_ha.vhdl new file mode 100644 index 0000000..30e7938 --- /dev/null +++ b/Example/full_adder/trial_ha.vhdl @@ -0,0 +1,17 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity trial_ha is + port ( + i_bit : in std_logic_vector(1 downto 0); + o_sum : out std_logic_vector(0 downto 0); + o_carry : out std_logic_vector(0 downto 0) + ); +end trial_ha; + +architecture rtl of trial_ha is +begin + o_sum <= i_bit(0) xor i_bit(1); + o_carry <= i_bit(0) and i_bit(1); +end rtl;
\ No newline at end of file |