summaryrefslogtreecommitdiff
path: root/Example/full_adder
diff options
context:
space:
mode:
authorRahul Paknikar2019-07-07 18:51:12 +0530
committerGitHub2019-07-07 18:51:12 +0530
commit0f8995a96904608b5e7a596d30547225f92e83be (patch)
treee6da017adf9a80c18467d82f099ec767f7c8a27e /Example/full_adder
parent385d1b79fa4d4a4c9fe39f72cd87d53b247704d4 (diff)
downloadnghdl-0f8995a96904608b5e7a596d30547225f92e83be.tar.gz
nghdl-0f8995a96904608b5e7a596d30547225f92e83be.tar.bz2
nghdl-0f8995a96904608b5e7a596d30547225f92e83be.zip
std_logic
Diffstat (limited to 'Example/full_adder')
-rw-r--r--Example/full_adder/full_adder_sl.vhdl19
1 files changed, 19 insertions, 0 deletions
diff --git a/Example/full_adder/full_adder_sl.vhdl b/Example/full_adder/full_adder_sl.vhdl
new file mode 100644
index 0000000..e830563
--- /dev/null
+++ b/Example/full_adder/full_adder_sl.vhdl
@@ -0,0 +1,19 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity full_adder_sl is
+ port (
+ i_bit1 : in std_logic;
+ i_bit2 : in std_logic;
+ i_bit3 : in std_logic;
+ o_sum : out std_logic;
+ o_carry : out std_logic
+ );
+end full_adder_sl;
+
+architecture rtl of full_adder_sl is
+begin
+ o_sum <= i_bit1 xor i_bit2 xor i_bit3;
+ o_carry <= (i_bit1 and i_bit2) or (i_bit2 and i_bit3) or (i_bit3 and i_bit1);
+end rtl; \ No newline at end of file