diff options
author | Rahul Paknikar | 2019-07-07 18:48:17 +0530 |
---|---|---|
committer | GitHub | 2019-07-07 18:48:17 +0530 |
commit | 45f2669e5ba6760c6adaacb564c0799d54a11052 (patch) | |
tree | 78eb43cc6002fab16916d4de66188556b065b9a8 /Example/full_adder/full_adder_slv.vhdl | |
parent | 7193220d6958627f268aec77efb9f6baf6ee4a9c (diff) | |
download | nghdl-45f2669e5ba6760c6adaacb564c0799d54a11052.tar.gz nghdl-45f2669e5ba6760c6adaacb564c0799d54a11052.tar.bz2 nghdl-45f2669e5ba6760c6adaacb564c0799d54a11052.zip |
std_logic_vector
Diffstat (limited to 'Example/full_adder/full_adder_slv.vhdl')
-rw-r--r-- | Example/full_adder/full_adder_slv.vhdl | 19 |
1 files changed, 0 insertions, 19 deletions
diff --git a/Example/full_adder/full_adder_slv.vhdl b/Example/full_adder/full_adder_slv.vhdl deleted file mode 100644 index a0495f0..0000000 --- a/Example/full_adder/full_adder_slv.vhdl +++ /dev/null @@ -1,19 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -entity full_adder_slv is - port ( - i_bit1 : in std_logic_vector(0 downto 0); - i_bit2 : in std_logic_vector(0 downto 0); - i_bit3 : in std_logic_vector(0 downto 0); - o_sum : out std_logic_vector(0 downto 0); - o_carry : out std_logic_vector(0 downto 0) - ); -end full_adder_slv; - -architecture rtl of full_adder_slv is -begin - o_sum <= i_bit1 xor i_bit2 xor i_bit3; - o_carry <= (i_bit1 and i_bit2) or (i_bit2 and i_bit3) or (i_bit3 and i_bit1); -end rtl; |