From d46e4f35422e107db1edfbcc97e3624fc3965b7f Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 26 Sep 2015 05:55:02 +0200 Subject: ticket94 reproducer --- testsuite/gna/ticket94/tb2.vhd | 29 +++++++++++++++++++++++++++++ 1 file changed, 29 insertions(+) create mode 100644 testsuite/gna/ticket94/tb2.vhd (limited to 'testsuite/gna/ticket94/tb2.vhd') diff --git a/testsuite/gna/ticket94/tb2.vhd b/testsuite/gna/ticket94/tb2.vhd new file mode 100644 index 0000000..0c52aa1 --- /dev/null +++ b/testsuite/gna/ticket94/tb2.vhd @@ -0,0 +1,29 @@ + +library ieee; +use ieee.std_logic_1164.all; +library alib; +use alib.acomp; + +entity tb2 is +end; + +architecture arch of tb2 is + + signal a, b : std_logic := '0'; + +begin + ainst: alib.apkg.acomp + port map (a, b); + + process is + begin + a <= '0'; + wait for 1 ns; + assert b = '0' report "component is missing" severity failure; + a <= '1'; + wait for 1 ns; + assert b = '1' report "component is missing" severity failure; + wait; + end process; + +end architecture; -- cgit