From 2eecd0d0a22dc22c7c52eeff9a91bef2a6b2bb5c Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Sat, 26 Sep 2015 05:55:02 +0200 Subject: ticket94 reproducer --- testsuite/gna/ticket94/asrc.vhd | 14 ++++++++++++++ 1 file changed, 14 insertions(+) create mode 100644 testsuite/gna/ticket94/asrc.vhd (limited to 'testsuite/gna/ticket94/asrc.vhd') diff --git a/testsuite/gna/ticket94/asrc.vhd b/testsuite/gna/ticket94/asrc.vhd new file mode 100644 index 0000000..d65c812 --- /dev/null +++ b/testsuite/gna/ticket94/asrc.vhd @@ -0,0 +1,14 @@ + +library ieee; +use ieee.std_logic_1164.all; + +entity acomp is + port (x: in std_ulogic; y: out std_ulogic); +end entity; + +architecture aarch of acomp is +begin + + y <= x; + +end architecture; -- cgit