From c91b6b08c87a829ebb0692e2bc591aa580fb7a8a Mon Sep 17 00:00:00 2001 From: Tristan Gingold Date: Fri, 15 May 2015 22:10:31 +0200 Subject: Testcase for ticket66. --- testsuite/gna/ticket66/bug.vhdl | 20 ++++++++++++++++++++ 1 file changed, 20 insertions(+) create mode 100644 testsuite/gna/ticket66/bug.vhdl (limited to 'testsuite/gna/ticket66/bug.vhdl') diff --git a/testsuite/gna/ticket66/bug.vhdl b/testsuite/gna/ticket66/bug.vhdl new file mode 100644 index 0000000..9289e93 --- /dev/null +++ b/testsuite/gna/ticket66/bug.vhdl @@ -0,0 +1,20 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity ent is +end entity; + +architecture a of ent is + procedure proc(constant value : std_logic_vector) is + begin + end procedure; +begin + main : process is + constant const : unsigned(1 downto 0) := "00"; + begin + proc(std_logic_vector(const)); + wait; + end process; +end architecture; + -- cgit