diff options
Diffstat (limited to 'testsuite/gna/bug19195/pkg.vhd')
-rw-r--r-- | testsuite/gna/bug19195/pkg.vhd | 13 |
1 files changed, 13 insertions, 0 deletions
diff --git a/testsuite/gna/bug19195/pkg.vhd b/testsuite/gna/bug19195/pkg.vhd new file mode 100644 index 0000000..4418e90 --- /dev/null +++ b/testsuite/gna/bug19195/pkg.vhd @@ -0,0 +1,13 @@ +library IEEE; +use IEEE.std_logic_1164.all; +package test_pkg is + type a is record + b : std_logic_vector(3 downto 0); + end record a; + type b is record + a1 : a; + end record b; + + signal c : b; + alias c0 : a is c.a1; +end package test_pkg; |