diff options
Diffstat (limited to 'testsuite/gna/bug18351/PROBLEM.vhdl')
-rw-r--r-- | testsuite/gna/bug18351/PROBLEM.vhdl | 25 |
1 files changed, 25 insertions, 0 deletions
diff --git a/testsuite/gna/bug18351/PROBLEM.vhdl b/testsuite/gna/bug18351/PROBLEM.vhdl new file mode 100644 index 0000000..d312f2c --- /dev/null +++ b/testsuite/gna/bug18351/PROBLEM.vhdl @@ -0,0 +1,25 @@ +library ieee; +use ieee.std_logic_1164.all; + + +entity PROBLEM is +end PROBLEM; + + +architecture BUG of PROBLEM is + type t_stdlogic_ptr is access std_logic_vector; + function ISSUE_HERE return t_stdlogic_ptr; + + procedure PROBLEM_INSIDE is + procedure ANY_STUFF(param: in std_logic_vector) is + begin + end procedure; + begin + ANY_STUFF(ISSUE_HERE.all); + end PROBLEM_INSIDE; + +begin + + + +end BUG; |