summaryrefslogtreecommitdiff
path: root/nghdl/src/ghdlserver/Vhpi_Package.vhdl
diff options
context:
space:
mode:
authorfossee2019-09-03 10:51:06 +0530
committerfossee2019-09-03 10:51:06 +0530
commit7f369bc451dc4189529efa1e5c9febe52c68a876 (patch)
treedac91d36548334efc67ed1851e780a90ba0c9076 /nghdl/src/ghdlserver/Vhpi_Package.vhdl
parentd4c0c9f05d7b6d5246c9a193fb4c5a01e2eae213 (diff)
downloadeSim-7f369bc451dc4189529efa1e5c9febe52c68a876.tar.gz
eSim-7f369bc451dc4189529efa1e5c9febe52c68a876.tar.bz2
eSim-7f369bc451dc4189529efa1e5c9febe52c68a876.zip
separated nghdl
Diffstat (limited to 'nghdl/src/ghdlserver/Vhpi_Package.vhdl')
-rwxr-xr-xnghdl/src/ghdlserver/Vhpi_Package.vhdl77
1 files changed, 0 insertions, 77 deletions
diff --git a/nghdl/src/ghdlserver/Vhpi_Package.vhdl b/nghdl/src/ghdlserver/Vhpi_Package.vhdl
deleted file mode 100755
index 0c0baac4..00000000
--- a/nghdl/src/ghdlserver/Vhpi_Package.vhdl
+++ /dev/null
@@ -1,77 +0,0 @@
--- author: Madhav P. Desai
-library ieee;
-use ieee.std_logic_1164.all;
-library work;
-use work.Utility_Package.all;
-package Vhpi_Foreign is
-
- -----------------------------------------------------------------------------
- -- foreign Vhpi function
- -----------------------------------------------------------------------------
- procedure Vhpi_Initialize(sock_port : in integer);
- attribute foreign of Vhpi_Initialize : procedure is "VHPIDIRECT Vhpi_Initialize";
-
- procedure Vhpi_Close; -- close .
- attribute foreign of Vhpi_Close : procedure is "VHPIDIRECT Vhpi_Close";
-
- procedure Vhpi_Listen;
- attribute foreign of Vhpi_Listen : procedure is "VHPIDIRECT Vhpi_Listen";
-
- procedure Vhpi_Send;
- attribute foreign of Vhpi_Send : procedure is "VHPIDIRECT Vhpi_Send";
-
- procedure Vhpi_Set_Port_Value(port_name: in VhpiString; port_value: in VhpiString; port_width: in integer);
- attribute foreign of Vhpi_Set_Port_Value: procedure is "VHPIDIRECT Vhpi_Set_Port_Value";
-
- procedure Vhpi_Get_Port_Value(port_name: in VhpiString; port_value : out VhpiString; port_width: in integer);
- attribute foreign of Vhpi_Get_Port_Value : procedure is "VHPIDIRECT Vhpi_Get_Port_Value";
-
- procedure Vhpi_Log(message_string: in VhpiString);
- attribute foreign of Vhpi_Log : procedure is "VHPIDIRECT Vhpi_Log";
-
-end Vhpi_Foreign;
-
-package body Vhpi_Foreign is
-
- -----------------------------------------------------------------------------
- -- subprogram bodies for foreign vhpi routines. will never be called
- -----------------------------------------------------------------------------
- procedure Vhpi_Initialize(sock_port: in integer) is
- begin
- assert false report "fatal: this should never be called" severity failure;
- end Vhpi_Initialize;
-
- procedure Vhpi_Close is
- begin
- assert false report "fatal: this should never be called" severity failure;
- end Vhpi_Close;
-
- procedure Vhpi_Listen is
- begin
- assert false report "fatal: this should never be called" severity failure;
- end Vhpi_Listen;
-
- procedure Vhpi_Send is
- begin
- assert false report "fatal: this should never be called" severity failure;
- end Vhpi_Send;
-
- procedure Vhpi_Set_Port_Value(port_name: in VhpiString; port_value: in VhpiString; port_width: in integer) is
- begin
- assert false report "fatal: this should never be called" severity failure;
- end Vhpi_Set_Port_Value;
-
- procedure Vhpi_Get_Port_Value(port_name : in VhpiString; port_value: out VhpiString; port_width: in integer)is
- begin
- assert false report "fatal: this should never be called" severity failure;
- end Vhpi_Get_Port_Value;
-
- procedure Vhpi_Log(message_string: in VhpiString) is
- begin
- assert false report "fatal: this should never be called" severity failure;
- end Vhpi_Log;
-
-end Vhpi_Foreign;
-
-
-