summaryrefslogtreecommitdiff
path: root/nghdl/Example/2-bit-inverter/inverter.vhdl
diff options
context:
space:
mode:
authorfossee2019-09-03 10:51:06 +0530
committerfossee2019-09-03 10:51:06 +0530
commit7f369bc451dc4189529efa1e5c9febe52c68a876 (patch)
treedac91d36548334efc67ed1851e780a90ba0c9076 /nghdl/Example/2-bit-inverter/inverter.vhdl
parentd4c0c9f05d7b6d5246c9a193fb4c5a01e2eae213 (diff)
downloadeSim-7f369bc451dc4189529efa1e5c9febe52c68a876.tar.gz
eSim-7f369bc451dc4189529efa1e5c9febe52c68a876.tar.bz2
eSim-7f369bc451dc4189529efa1e5c9febe52c68a876.zip
separated nghdl
Diffstat (limited to 'nghdl/Example/2-bit-inverter/inverter.vhdl')
-rw-r--r--nghdl/Example/2-bit-inverter/inverter.vhdl14
1 files changed, 0 insertions, 14 deletions
diff --git a/nghdl/Example/2-bit-inverter/inverter.vhdl b/nghdl/Example/2-bit-inverter/inverter.vhdl
deleted file mode 100644
index 7eb3c678..00000000
--- a/nghdl/Example/2-bit-inverter/inverter.vhdl
+++ /dev/null
@@ -1,14 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-
-entity inverter is
- port ( i: in std_logic_vector(0 downto 0);
- o: out std_logic_vector(0 downto 0));
-end inverter;
-
-architecture inverter_beh of inverter is
-begin
- o <= not i;
-end inverter_beh;
-
-